Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

vhdl source code for rs decoder

Status
Not open for further replies.

mahaguna

Newbie level 2
Joined
Aug 29, 2016
Messages
2
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
15
hi sir i am working on a project by named "design and implementation of reed solomon decoder for 802.16 network using fpga" plz help me in guiding for code i am new to write code .....waiting for you replay plz farward me if u have code
 

Don't have code to give you as I wrote it for a company and can't give it to anyone.

Here is a paper on implementing a RS decoder, which I used to implement the above RS on an FPGA, but it will take effort on your part (which is perhaps what you were attempting to avoid).
 
vhdl code for reed solomon decoder rs(255,239)

i need vhdl code for reed solomon decoder RS(255,239). plz help me. thanks in advance
 

The point of projects like this are to learn how to do stuff like this in the real world. Plagiarizing designs is what gets the lawyers rich on IP infringement lawsuits.

Did you google for that specific RS decoder? Here is a paper on that RS(255,239) code. The paper also mentions that you can generate the same code using Xilinx's coregenerator, which I have decided to be too lazy to verify.
 

i need vhdl code for reed solomon decoder RS(255,239). plz help me.
I hope you get lucky and find some VHDL code. Else I hope someone who has this code, and is reading this thread, is willing to share with the code with you.

As mentioned by ads-ee in #4, there are numerous papers, thesis documentations, freely available to download explaining in details solomon decoder RS(255,239) which includes the equations, block diagrams and state-diagrams. Read them, understand the principle, understand the implementation intricacies for FPGA and then write your VHDL. This forum can help you with *your written* VHDL code.

plz help me in guiding for code i am new to write code .....
If that is the case then I would suggest you to develop your VHDL coding skill by doing smaller and simpler projects first.

The point of projects like this are to learn how to do stuff like this in the real world. Plagiarizing designs is what gets the lawyers rich on IP infringement lawsuits.
Probably it is an university project and after it is successfully done, will be buried within the university archives. So the OP won't bother much about it. :)
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top