Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Ask for help!How to encrypt the netlist?

Status
Not open for further replies.

iamchine

Junior Member level 2
Joined
Sep 15, 2004
Messages
22
Helped
1
Reputation
2
Reaction score
0
Trophy points
1,281
Location
P.R.China
Activity points
232
ncverilog encrypt

We want to encrypt our netlist after synthesis with DC.Our copartner should be able to simulate(VCS/NC-verilog) with the encrypted netlist.Which EDA tools /software you use?How do that?
Thank you !
 

nc-verilog encrypt

tools ncprotect can do it, it's a part of LDV. you can find how to use it in nc-verilog manual.
 

encrypt with vcs

How do you do the same with Snps VCS-MX ?
 

protect-endprotect ncsim

in VCS, the code between `protect and `endprotect will be protected and will be stored under filename.vp once you simulate it.
 

netlist encryption

yes , you can use option to do the encrypt !
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top