Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

VCO simulation in Cadence

Status
Not open for further replies.

mbright

Junior Member level 1
Joined
Oct 19, 2004
Messages
18
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
280
cadence vco oscillation tricks

i just simulate a very basic LC cross-coupled VCO in Cadence.
trans analysis.

It's weird to me that the results is subjective to simulation time.
say when simulate 20ns, I can see the oscillation wave, while if i change to 10ns or 30ns, the wave is gone and seems not oscillate at all.

And, the Oscillation start time point is varies with the simulation time as well.

Why is that? Is this related to simulation time step?

simulator used is specture. 0.18 RFCMOS

thanks for reply
 

When you fill in transient form select conservative option.
 

    V

    Points: 2
    Helpful Answer Positive Rating
u might be messing up with selecting the proper options
 

Have you provided "starting condition" for VCO? Because, to excite the vco, some tricks should be used to supply the start-up condition of vco. For instance to put two pwl current source by small amount of delay to excite the pair of transistors.
If you didn't do , vco will sometime work , sometime not.
 

    V

    Points: 2
    Helpful Answer Positive Rating
Dear all,

You could try to set the smaller "step" and "maxstep" in the time step parameters of the tran simulation. Then you could simulate the oscillator in oscillation during transisent simulation.

Best Regards,

wccheng
 

some times , we put VCC not a ordinary dc source , but u put it a voltage step
"it is very close to reality"
some ppl put a implse in series with tank circuit

most of them work very will
 

Do you add the trigger?
e.g., you can try add it in the Analog Design Environment of Cadence. Select Simulation->Convergence aids->Initial Condition
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top