Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

[MOVED] Virelog code having problem while getting output

Status
Not open for further replies.

kushal nandanwar

Full Member level 3
Joined
Jun 9, 2013
Messages
177
Helped
6
Reputation
12
Reaction score
6
Trophy points
18
Activity points
1,258
Code:
//  comp2s128b
module comp2s16b(s,a,clk);
    input [15:0]a;
    input clk;
    output reg[15:0]s;
        always@(posedge clk) 
    begin
    s=~(a)+1'b1;    
              end 
       endmodule
 

Re: Virelog code having problem while getting output

Hey, I am a beginner in verilog, but I think you should show us the test-bench verilog code as well.

are you simulating this only or you have a board to test your code?
 

Re: Virelog code having problem while getting output

I think inside the always@ clocked process statement you need to use the "<=" operator instead of "=" operator
Is the simulator showing any error or warnings ?
What is the given inputs and expected output ?
 

I think inside the always@ clocked process statement you need to use the "<=" operator instead of "=" operator
Yes, it's good practice to use non-blocking "<=" assignments in edge sensitive always blocks. But it's not strictly required. In the present example, it makes no difference at all, because the assigned value isn't read in another expression.
 
Re: Virelog code having problem while getting output

I think inside the always@ clocked process statement you need to use the "<=" operator instead of "=" operator
Is the simulator showing any error or warnings ?
What is the given inputs and expected output ?

I am not getting any output on my simulation window whatever input I put.

- - - Updated - - -

My code
it is written for LMS Adaptive filter using vedic multiplier.


Code Verilog - [expand]
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
209
210
211
212
213
214
215
216
217
218
219
220
221
222
223
224
225
226
227
228
229
230
231
232
233
234
235
236
237
238
239
240
241
242
243
244
245
246
247
248
249
250
251
252
253
254
255
256
257
258
259
260
261
262
263
264
265
266
267
268
269
270
271
272
273
274
275
276
277
278
279
280
281
282
283
284
285
286
287
288
289
290
291
292
293
294
295
296
297
298
299
300
301
302
303
304
305
306
307
308
309
310
311
312
`timescale 1ns / 1ps
//////////////////////////////////////////////////////////////////////////////////
// Company: 
// Engineer: 
// 
// Create Date:    19:43:04 01/29/2016 
// Design Name: 
// Module Name:    MAF 
// Project Name: 
// Target Devices: 
// Tool versions: 
// Description: 
//
// Dependencies: 
//
// Revision: 
// Revision 0.01 - File Created
// Additional Comments: 
//
//////////////////////////////////////////////////////////////////////////////////
module adaptive_filter8(yn, xn, dn, mew, clk);
  output [15:0]yn;
  input [7:0]xn;
  input [15:0]dn;
  input [7:0]mew;
  input clk; 
wire[15:0]prod0,prod1,prod2,prod3,prod4,prod5,prod6,prod7,product,product1,product2; 
wire [15:0] res1,res2,res3,res4,res5,res6,res7,res8;
wire [7:0]xn1,xn2,xn3;
wire [15:0]yk2s,yk,err;
wire [3:0]mantissa,exponent;
wire[7:0]sum,sum1,op;
wire [7:0]coeff1,coeff2,coeff3,coeff4;
 
v8b uut1(.P(prod0),.A(xn),.B(8'd1/* coeff[0]*/),.clk(clk));
dff8 uut2(.q(xn1),.d(xn),.clk(clk));
v8b uut3(.P(prod1),.A(xn1),.B(8'd2/*coeff[1]*/),.clk(clk));
dff8 uut4(.q(xn2),.d(xn1),.clk(clk));
v8b uut5(.P(prod2),.A(xn2),.B(8'd3/*coeff[2]*/),.clk(clk));
dff8 uut6(.q(xn3),.d(xn2),.clk(clk));
v8b uut7(.P(prod3),.A(xn3),.B(8'd4/*coeff[3]*/),.clk(clk));
cladr16b uut8(.sum(res1),.x(16'b00000000),.y(prod0),.clk(clk));
cladr16b uut9(.sum(res2),.x(res1),.y(prod1),.clk(clk));
cladr16b uut10(.sum(res3),.x(res2),.y(prod2),.clk(clk));
cladr16b uut11(.sum(res4),.x(res3),.y(prod3),.clk(clk));
assign yk=res4;     //output of fir filter
//error calculation;error=dn-yk
comp2s16b uut12(.s(yk2s),.a(yk),.clk(clk));
cladr16b uut13(.sum(err),.x(dn),.y(yk2s),.clk(clk));
assign error=err;
//h(n+1)=hn+mew*error*xn
assign mantissa=mew[7:4];
assign exponent=mew[3:0];
v8b uut14(.P(product),.A(xn),.B(err[7:0]),.clk(clk));
assign op={product[15:0]};
v8b uut15(.P(product1),.A(op),.B({4'b0,exponent}),.clk(clk));
assign opexponent={product1[15:0]};
v8b uut16(.P(product2),.A(op),.B({4'b0,mantissa}),.clk(clk));
assign sum1={product2[15:0]};
cladr8b uut17 (.sum(sum),.x({product1[15:8]}),.y(sum1),.clk(clk));
//sum=mew*error*xn
//LMS algorithm;h(k+1)=hk+mew*error*xn
cladr8b uut18(.sum(coeff1),.x(sum),.y(8'd4),.clk(clk));
cladr8b uut19(.sum(coeff2),.x(sum),.y(coeff1),.clk(clk));
cladr8b uut20(.sum(coeff3),.x(sum),.y(coeff2),.clk(clk));
cladr8b uut21(.sum(coeff4),.x(sum),.y(coeff3),.clk(clk));
//adaptive filter
v8b uut22(.P(prod4),.A(xn),.B(coeff1),.clk(clk));
v8b uut24(.P(prod5),.A(xn1),.B(coeff2),.clk(clk));
v8b uut26(.P(prod6),.A(xn2),.B(coeff3),.clk(clk));
v8b uut28(.P(prod7),.A(xn3),.B(coeff4),.clk(clk));
cladr16b uut29(.sum(res5),.x(16'b00000000),.y(prod4),.clk(clk));
cladr16b uut30(.sum(res6),.x(res1),.y(prod5),.clk(clk));
cladr16b uut31(.sum(res7),.x(res2),.y(prod6),.clk(clk));
cladr16b uut32(.sum(res8),.x(res3),.y(prod7),.clk(clk));
assign yn=res8;//output of adaptive fir filter
endmodule
 
module dff8(q,d,clk);
   input [7:0]d;
    input clk;
    output reg [7:0] q;
     always@(posedge clk) 
    begin
     q<=#10 d;
    end
endmodule
//8 bit vedic multiplier
module v8b(P,A,B,clk);
input [7:0]A,B;
input clk;
output [15:0]P;
wire [7:0] product1,product2,product3,product4,pro3;
wire [7:0]sum;
wire [3:0] pro1,pro2,op1;
wire [3:0] op2; 
v4b ut1(.P(product1),.A({A[3:0]}),.B({B[3:0]}),.clk(clk));
assign pro1=product1[3:0];
v4b ut2(.P(product2),.A({A[3:0]}),.B({B[7:4]}),.clk(clk));
v4b ut3(.P(product3),.A({A[7:4]}),.B({B[3:0]}),.clk(clk));
v4b ut4(.P(product4),.A({A[7:4]}),.B({B[7:4]}),.clk(clk));
csa_8b cuut(.d(product2),.e(product3),.f(product1[7:4]),.op1(op1),.op2(op2),.clk(clk));
assign pro2=op1;
csa_8b2 auut(.d(product4),.e(op2),.op1(sum),.clk(clk));
assign pro3=sum;
assign P={pro3,pro2,pro1};
endmodule
//4 bit vedic multiplier
module v4b(P,A,B,clk);
input [3:0]A,B;
input clk;
output [7:0]P;
wire [3:0] product1,product2,product3,product4,pro3;
wire [3:0]sum;
wire [1:0] pro1,pro2,op1;
wire [3:0] op2; 
wire A0,A1,A2,A3;
wire B0,B1,B2,B3;
assign A0=A[0];
assign A1=A[1];
assign A2=A[2];
assign A3=A[3];
assign B0=B[0];
assign B1=B[1];
assign B2=B[2];
assign B3=B[3];
vedic2b ut1(.p(product1),.a({A1,A0}),.b({B1,B0}),.clk(clk));
assign pro1=product1[1:0];
vedic2b ut2(.p(product2),.a({A3,A2}),.b({B1,B0}),.clk(clk));
vedic2b ut3(.p(product3),.a({A1,A0}),.b({B3,B2}),.clk(clk));
vedic2b ut4(.p(product4),.a({A3,A2}),.b({B3,B2}),.clk(clk));
csa6 cuut(.d(product2),.e(product3),.f(product1[3:2]),.op1(op1),.op2(op2),.clk(clk));
assign pro2=op1;
//csa4 auut(.a(product4),.b(op2),.s(sum),.c(carryout));
cladr auut(.sum(sum),.x(product4),.y(op2),.clk(clk));
//add4 auut(.p(product4),.q(op2),.ci(1'b0),.result(sum),.carry(carryout));
assign pro3=sum;
assign P={pro3,pro2,pro1};
endmodule
//2 bit vedic multiplier
module vedic2b(p,a,b,clk);
input [1:0]a,b;
input clk;
output reg [3:0]p;
wire a0,a1,b0,b1;
wire s1,s2,c1,c2;
reg p0,p1,p2,p3;
assign a0=a[0];
assign a1=a[1];
assign b0=b[0];
assign b1=b[1];
always@(posedge clk)
begin
 p0=a0&b0;
p1=(a1&b0)^(a0&b1); 
p2=(a1&b1)^{(a1&b0)&(a0&b1)};
p3=(a1&b1)&{(a1&b0)&(a0&b1)};
 p={p3,p2,p1,p0};
 end
endmodule
// csa 8bit
module csa_8b(d,e,f,op1,op2,clk);
 input [7:0]d,e;
 input [3:0]f;
 input clk;
 output [3:0]op1,op2;
wire [7:0] res1,res2;
cladr8b c1 (.sum(res1),.x({d[7:0]}),.y({e[7:0]}),.clk(clk));
cladr8b c2 (.sum(res2),.x({4'b0000,f[3:0]}),.y(res1),.clk(clk));
assign op1={res2[3:0]};
assign op2={res2[7:4]};
 endmodule
//csa8b2
module csa_8b2(d,e,op1,clk);
 input [7:0]d;
 input [3:0]e;
 input clk;
 output [7:0]op1;
 cladr8b c1 (.sum(op1),.x({d[7:0]}),.y({4'b0000,e[3:0]}),.clk(clk));
endmodule
// csa  4+4+2 bit=csa6b
module csa6(d,e,f,op1,op2,clk);
 input [3:0]d,e;
 input [1:0]f;
 input clk;
 output [1:0]op1;
 output [3:0]op2;
wire d0,d1,d2,d3;
wire e0,e1,e2,e3;
wire [3:0] op11,op22;
 
cladr cla1(.sum(op11),.x(d),.y(e),.clk(clk));
cladr cla2(.sum(op22),.x(op11),.y({2'b00,f}),.clk(clk));
 
 assign op1=op22[1:0];
 assign op2={2'b00,op22[3:2]};
 
 endmodule
 
//  carry look ahead adder 8bit
module cladr8b(sum,x,y,clk); 
 output [7:0] sum; 
input [7:0] x,y; 
input clk;
parameter cin=1'b0; 
//output cout;
wire [7:0] g,p; 
wire [8:0] c; 
assign c[0] = cin; 
assign g[7:0] = x[7:0] & y[7:0]; 
assign p[7:0] = x[7:0] ^ y[7:0]; 
assign c[1] = g[0] | (p[0]&cin); 
assign c[2] = g[1] | (p[1]&g[0]) | (p[1]&p[0]&cin); 
assign c[3] = g[2] | (p[2]&g[1]) | (p[2]&p[1]&g[0]) |(p[2]&p[1]&p[0]&cin); 
assign c[4] = g[3] | (p[3]&g[2]) | (p[3]&p[2]&g[1]) | 
(p[3]&p[2]&p[1]&g[0]) | (p[3]&p[2]&p[1]&p[0]&cin); 
assign c[5] = g[4]|(p[4]&c[4]);
assign c[6] = g[5]|(p[5]&c[5]);
assign c[7] = g[6]|(p[6]&c[6]);
assign c[8] = g[7]|(p[7]&c[7]);
assign sum[7:0] = p[7:0] ^ c[7:0]; 
//assign cout=c[9]; 
endmodule 
// carry look ahead adder 4bit
module cladr(sum,x,y,clk); 
 output [3:0] sum; 
input [3:0] x,y; 
input clk;
parameter cin=1'b0; 
//output cout;
wire [3:0] g,p; 
wire [4:0] c; 
assign c[0] = cin; 
assign g[3:0] = x[3:0] & y[3:0]; 
assign p[3:0] = x[3:0] ^ y[3:0]; 
assign c[1] = g[0] | (p[0]&cin); 
assign c[4] = g[3] | (p[3]&g[2]) | (p[3]&p[2]&g[1]) | 
(p[3]&p[2]&p[1]&g[0]) | (p[3]&p[2]&p[1]&p[0]&cin); 
assign sum[3:0] = p[3:0] ^ c[3:0]; 
//assign cout=c[4]; 
endmodule
////  full adder
//module fa( a, b, c_in,sum,c_out);
//input a, b, c_in;
//output sum, c_out;
//wire a1, a2, a3, a4;
//wire c1, c2, c3, c4;
//  assign a1 = (~a) & (~b) &   c_in;
//  assign a2 = (~a) &   b  & (~c_in);
//  assign a3 =   a  & (~b) & (~c_in);
//  assign a4 =   a  &   b  &   c_in;
//  assign sum = a1 | a2 | a3 | a4;
//  assign c1 = (~a) &   b  &   c_in;
//  assign c2 =   a  & (~b) &   c_in;
//  assign c3 =   a  &   b  & (~c_in);
//  assign c4 =   a  &   b  &   c_in;
//  assign c_out = c1 | c2 | c3 | c4;
//endmodule
////  half adder
//module ha(m,n,s,c);
//    input m,n;
//    output s,c;
//    wire m1,m2;
//    assign m1=m&(~n);
//    assign m2=(~m)&n;
//    assign s=m1|m2;
//        assign c=m&n;
//endmodule
 
 
//  carry look ahead adder 16bit
module cladr16b(sum,x,y,clk); 
 output [15:0] sum; 
input [15:0] x,y; 
input clk;
parameter cin=1'b0; 
wire [15:0] g,p; 
wire [16:0] c; 
assign c[0] = cin; 
assign g[15:0] = x[15:0] & y[15:0]; 
assign p[15:0] = x[15:0] ^ y[15:0]; 
assign c[1] = g[0] |(p[0]&cin); 
assign c[2] = g[1] |(p[1]&c[1]); 
assign c[3] = g[2] |(p[2]&c[2]); 
assign c[4] = g[3] |(p[3]&c[3]); 
assign c[5] = g[4] |(p[4]&c[4]);
assign c[6] = g[5] |(p[5]&c[5]);
assign c[7] = g[6] |(p[6]&c[6]);
assign c[8] = g[7] |(p[7]&c[7]);
assign c[9] = g[8] |(p[8]&c[8]);
assign c[10] = g[9] |(p[9]&c[9]);
assign c[11] = g[10] |(p[10]&c[10]);
assign c[12] = g[11] |(p[11]&c[11]);
assign c[13] = g[12] |(p[12]&c[12]);
assign c[14] = g[13] |(p[13]&c[13]);
assign c[15] = g[14] |(p[14]&c[14]);
assign c[16] = g[15] |(p[15]&c[15]);
assign sum[15:0] = p[15:0] ^ c[15:0]; 
//assign cout=c[16]; 
endmodule
 
 
//  comp2s128b
module comp2s16b(s,a,clk);
    input [15:0]a;
    input clk;
    output reg[15:0]s;
        always@(posedge clk) 
    begin
        s=~(a)+1'b1;    
    end 
endmodule

 
Last edited by a moderator:

The normal issues are:

1.) You have a syntax error somewhere that causes a compile to fail, but you have a previous compilation -- you aren't actually simulating changes that your making.
2.) You have a net with a typo that Verilog's default net type has conveniently declared for you, but there is no connection because it is a typo. (and this should have never been a feature in any language that has ever existed)
3.) You have connected the outputs to a set of nets that differ from the ones in your simulation.

These all come down to "you aren't compiling the correct files sucessfully" and "you aren't monitoring the correct nets".

(this is also posted in the FPGA section, but you have a CLA adder. CLA basically never makes sense in an FPGA due to routing costs. Stick to "+" or architecture-aware carry-select based adders.)
 

The normal issues are:

1.) You have a syntax error somewhere that causes a compile to fail, but you have a previous compilation -- you aren't actually simulating changes that your making.
2.) You have a net with a typo that Verilog's default net type has conveniently declared for you, but there is no connection because it is a typo. (and this should have never been a feature in any language that has ever existed)
3.) You have connected the outputs to a set of nets that differ from the ones in your simulation.

These all come down to "you aren't compiling the correct files sucessfully" and "you aren't monitoring the correct nets".

(this is also posted in the FPGA section, but you have a CLA adder. CLA basically never makes sense in an FPGA due to routing costs. Stick to "+" or architecture-aware carry-select based adders.)

Can you elaborate little bit more.
 

(this is also posted in the FPGA section, but you have a CLA adder. CLA basically never makes sense in an FPGA due to routing costs. Stick to "+" or architecture-aware carry-select based adders.)
I don't get the point. The Verilog code in post #1 synthesizes to a straightforward hardware design using 16 LUT/register combinations and a carry chain.

There's no syntax error and no reason why it couldn't be simulated. Looks like problem in managing the simulator.

Have to look at the test bench and simulator script.
 

I don't get the point. The Verilog code in post #1 synthesizes to a straightforward hardware design using 16 LUT/register combinations and a carry chain.

There's no syntax error and no reason why it couldn't be simulated. Looks like problem in managing the simulator.

Have to look at the test bench and simulator script.


Hello FM

I am attaching results for you.
I think there is some problem with variable not getting link with other module written in code.

LMS-3.JPG

LMS-1.JPG

LMS-2.JPG

I have already posted my code in post 5.
 
Last edited:

Unfortunately I missed the appended text in post #5.
 

Unfortunately I missed the appended text in post #5.

I optimize code , now it easy to find error

Code:
`timescale 1ns / 1ps
//////////////////////////////////////////////////////////////////////////////////
// Company: 
// Engineer: 
// 
// Create Date:    19:43:04 01/29/2016 
// Design Name: 
// Module Name:    MAF 
// Project Name: 
// Target Devices: 
// Tool versions: 
// Description: 
//
// Dependencies: 
//
// Revision: 
// Revision 0.01 - File Created
// Additional Comments: 
//
//////////////////////////////////////////////////////////////////////////////////
module adaptive_filter8(yn, xn, dn, mew, clk);
  output [15:0]yn;
  input [7:0]xn;
  input [15:0]dn;
  input [7:0]mew;
  input clk; 
  
wire [15:0]prod0,prod1,prod2,prod3,prod4,prod5,prod6,prod7,product,product1,product2; 
wire [15:0] res1,res2,res3,res4,res5,res6,res7,res8;
wire [7:0]xn1,xn2,xn3;
wire [15:0]yk2s,yk,err;
wire [3:0]mantissa,exponent;
wire [7:0]sum,sum1,op;
wire [7:0]coeff1,coeff2,coeff3,coeff4;

v8b uut1(.P(prod0),.A(xn),.B(8'd1/* coeff[0]*/),.clk(clk));
dff8 uut2(.q(xn1),.d(xn),.clk(clk));
v8b uut3(.P(prod1),.A(xn1),.B(8'd2/*coeff[1]*/),.clk(clk));
dff8 uut4(.q(xn2),.d(xn1),.clk(clk));
v8b uut5(.P(prod2),.A(xn2),.B(8'd3/*coeff[2]*/),.clk(clk));
dff8 uut6(.q(xn3),.d(xn2),.clk(clk));
v8b uut7(.P(prod3),.A(xn3),.B(8'd4/*coeff[3]*/),.clk(clk));
cladr16b uut8(.sum(res1),.x(16'b00000000),.y(prod0),.clk(clk));
cladr16b uut9(.sum(res2),.x(res1),.y(prod1),.clk(clk));
cladr16b uut10(.sum(res3),.x(res2),.y(prod2),.clk(clk));
cladr16b uut11(.sum(res4),.x(res3),.y(prod3),.clk(clk));
assign yk=res4;     //output of fir filter

//error calculation;error=dn-yk
comp2s16b uut12(.s(yk2s),.a(yk),.clk(clk));
cladr16b uut13(.sum(err),.x(dn),.y(yk2s),.clk(clk));
assign error=err;

//h(n+1)=hn+mew*error*xn
assign mantissa=mew[7:4];
assign exponent=mew[3:0];
v8b uut14(.P(product),.A(xn),.B(err[7:0]),.clk(clk));
assign op={product[15:0]};
v8b uut15(.P(product1),.A(op),.B({4'b0,exponent}),.clk(clk));
assign opexponent={product1[15:0]};
v8b uut16(.P(product2),.A(op),.B({4'b0,mantissa}),.clk(clk));
assign sum1={product2[15:0]};
cladr8b uut17 (.sum(sum),.x({product1[15:8]}),.y(sum1),.clk(clk));
//sum=mew*error*xn
//LMS algorithm;h(k+1)=hk+mew*error*xn

cladr8b uut18(.sum(coeff1),.x(sum),.y(8'd4),.clk(clk));
cladr8b uut19(.sum(coeff2),.x(sum),.y(coeff1),.clk(clk));
cladr8b uut20(.sum(coeff3),.x(sum),.y(coeff2),.clk(clk));
cladr8b uut21(.sum(coeff4),.x(sum),.y(coeff3),.clk(clk));
//adaptive filter

v8b uut22(.P(prod4),.A(xn),.B(coeff1),.clk(clk));
v8b uut24(.P(prod5),.A(xn1),.B(coeff2),.clk(clk));
v8b uut26(.P(prod6),.A(xn2),.B(coeff3),.clk(clk));
v8b uut28(.P(prod7),.A(xn3),.B(coeff4),.clk(clk));
cladr16b uut29(.sum(res5),.x(16'b00000000),.y(prod4),.clk(clk));
cladr16b uut30(.sum(res6),.x(res1),.y(prod5),.clk(clk));
cladr16b uut31(.sum(res7),.x(res2),.y(prod6),.clk(clk));
cladr16b uut32(.sum(res8),.x(res3),.y(prod7),.clk(clk));
assign yn=res8;//output of adaptive fir filter
endmodule

module dff8(q,d,clk);
   input [7:0]d;
    input clk;
    output reg [7:0] q;
     always@(posedge clk) 
    begin
     q<=#10 d;
    end
endmodule

//8 bit vedic multiplier
module v8b(P,A,B,clk);
input [7:0]A,B;
input clk;
output [15:0]P;
wire [7:0] product1,product2,product3,product4,pro3;
wire [7:0]sum;
wire [3:0] pro1,pro2,op1;
wire [3:0] op2; 
v4b ut1(.P(product1),.A({A[3:0]}),.B({B[3:0]}),.clk(clk));
assign pro1=product1[3:0];
v4b ut2(.P(product2),.A({A[3:0]}),.B({B[7:4]}),.clk(clk));
v4b ut3(.P(product3),.A({A[7:4]}),.B({B[3:0]}),.clk(clk));
v4b ut4(.P(product4),.A({A[7:4]}),.B({B[7:4]}),.clk(clk));
csa_8b cuut(.d(product2),.e(product3),.f(product1[7:4]),.op1(op1),.op2(op2),.clk(clk));
assign pro2=op1;
csa_8b2 auut(.d(product4),.e(op2),.op1(sum),.clk(clk));
assign pro3=sum;
assign P={pro3,pro2,pro1};
endmodule

//4 bit vedic multiplier
module v4b(P,A,B,clk);
input [3:0]A,B;
input clk;
output [7:0]P;
wire [3:0] product1,product2,product3,product4,pro3;
wire [3:0]sum;
wire [1:0] pro1,pro2,op1;
wire [3:0] op2; 
wire A0,A1,A2,A3;
wire B0,B1,B2,B3;
assign A0=A[0];
assign A1=A[1];
assign A2=A[2];
assign A3=A[3];
assign B0=B[0];
assign B1=B[1];
assign B2=B[2];
assign B3=B[3];
vedic2b ut1(.p(product1),.a({A1,A0}),.b({B1,B0}),.clk(clk));
assign pro1=product1[1:0];
vedic2b ut2(.p(product2),.a({A3,A2}),.b({B1,B0}),.clk(clk));
vedic2b ut3(.p(product3),.a({A1,A0}),.b({B3,B2}),.clk(clk));
vedic2b ut4(.p(product4),.a({A3,A2}),.b({B3,B2}),.clk(clk));
csa6 cuut(.d(product2),.e(product3),.f(product1[3:2]),.op1(op1),.op2(op2),.clk(clk));
assign pro2=op1;

//csa4 auut(.a(product4),.b(op2),.s(sum),.c(carryout));
cladr auut(.sum(sum),.x(product4),.y(op2),.clk(clk));
//add4 auut(.p(product4),.q(op2),.ci(1'b0),.result(sum),.carry(carryout));
assign pro3=sum;
assign P={pro3,pro2,pro1};
endmodule
//2 bit vedic multiplier

module vedic2b(p,a,b,clk);
input [1:0]a,b;
input clk;
output reg [3:0]p;
wire a0,a1,b0,b1;
wire s1,s2,c1,c2;
reg p0,p1,p2,p3;
assign a0=a[0];
assign a1=a[1];
assign b0=b[0];
assign b1=b[1];
always@(posedge clk)
begin
 p0=a0&b0;
p1=(a1&b0)^(a0&b1); 
p2=(a1&b1)^{(a1&b0)&(a0&b1)};
p3=(a1&b1)&{(a1&b0)&(a0&b1)};
 p={p3,p2,p1,p0};
 end
endmodule

// csa 8bit
module csa_8b(d,e,f,op1,op2,clk);
 input [7:0]d,e;
 input [3:0]f;
 input clk;
 output [3:0]op1,op2;
wire [7:0] res1,res2;
cladr8b c1 (.sum(res1),.x({d[7:0]}),.y({e[7:0]}),.clk(clk));
cladr8b c2 (.sum(res2),.x({4'b0000,f[3:0]}),.y(res1),.clk(clk));
assign op1={res2[3:0]};
assign op2={res2[7:4]};  
 endmodule
 
//csa8b2
module csa_8b2(d,e,op1,clk);
 input [7:0]d;
 input [3:0]e;
 input clk;
 output [7:0]op1;
 cladr8b c1 (.sum(op1),.x({d[7:0]}),.y({4'b0000,e[3:0]}),.clk(clk));
endmodule

// csa  4+4+2 bit=csa6b
module csa6(d,e,f,op1,op2,clk);
 input [3:0]d,e;
 input [1:0]f;
 input clk;
 output [1:0]op1;
 output [3:0]op2;
wire d0,d1,d2,d3;
wire e0,e1,e2,e3;
wire [3:0] op11,op22;

cladr cla1(.sum(op11),.x(d),.y(e),.clk(clk));
cladr cla2(.sum(op22),.x(op11),.y({2'b00,f}),.clk(clk));
 
 assign op1=op22[1:0];
 assign op2={2'b00,op22[3:2]};
 
 endmodule

//  carry look ahead adder 8bit
module cladr8b(sum,x,y,clk); 
 output [7:0] sum; 
input [7:0] x,y; 
input clk;
parameter cin=1'b0; 

//output cout;
wire [7:0] g,p; 
wire [8:0] c; 
assign c[0] = cin; 
assign g[7:0] = x[7:0] & y[7:0]; 
assign p[7:0] = x[7:0] ^ y[7:0]; 
assign c[1] = g[0] | (p[0]&cin); 
assign c[2] = g[1] | (p[1]&g[0]) | (p[1]&p[0]&cin); 
assign c[3] = g[2] | (p[2]&g[1]) | (p[2]&p[1]&g[0]) |(p[2]&p[1]&p[0]&cin); 
assign c[4] = g[3] | (p[3]&g[2]) | (p[3]&p[2]&g[1]) | (p[3]&p[2]&p[1]&g[0]) | (p[3]&p[2]&p[1]&p[0]&cin); 
assign c[5] = g[4]|(p[4]&c[4]);
assign c[6] = g[5]|(p[5]&c[5]);
assign c[7] = g[6]|(p[6]&c[6]);
assign c[8] = g[7]|(p[7]&c[7]);
assign sum[7:0] = p[7:0] ^ c[7:0]; 
//assign cout=c[9]; 
endmodule 





//  carry look ahead adder 16bit
module cladr16b(sum,x,y,clk); 
 output [15:0] sum; 
input [15:0] x,y; 
input clk;
parameter cin=1'b0; 
wire [15:0] g,p; 
wire [16:0] c; 
assign c[0] = cin; 
assign g[15:0] = x[15:0] & y[15:0]; 
assign p[15:0] = x[15:0] ^ y[15:0]; 
assign c[1] = g[0] |(p[0]&cin); 
assign c[2] = g[1] |(p[1]&c[1]); 
assign c[3] = g[2] |(p[2]&c[2]); 
assign c[4] = g[3] |(p[3]&c[3]); 
assign c[5] = g[4] |(p[4]&c[4]);
assign c[6] = g[5] |(p[5]&c[5]);
assign c[7] = g[6] |(p[6]&c[6]);
assign c[8] = g[7] |(p[7]&c[7]);
assign c[9] = g[8] |(p[8]&c[8]);
assign c[10] = g[9] |(p[9]&c[9]);
assign c[11] = g[10] |(p[10]&c[10]);
assign c[12] = g[11] |(p[11]&c[11]);
assign c[13] = g[12] |(p[12]&c[12]);
assign c[14] = g[13] |(p[13]&c[13]);
assign c[15] = g[14] |(p[14]&c[14]);
assign c[16] = g[15] |(p[15]&c[15]);
assign sum[15:0] = p[15:0] ^ c[15:0]; 
//assign cout=c[16]; 
endmodule


//  comp2s128b
module comp2s16b(s,a,clk);
    input [15:0]a;
    input clk;
    output reg[15:0]s;
        always@(posedge clk) 
    begin
    s=~(a)+1'b1;    
              end 
       endmodule

- - - Updated - - -

The normal issues are:

1.) You have a syntax error somewhere that causes a compile to fail, but you have a previous compilation -- you aren't actually simulating changes that your making.
2.) You have a net with a typo that Verilog's default net type has conveniently declared for you, but there is no connection because it is a typo. (and this should have never been a feature in any language that has ever existed)
3.) You have connected the outputs to a set of nets that differ from the ones in your simulation.

These all come down to "you aren't compiling the correct files sucessfully" and "you aren't monitoring the correct nets".

(this is also posted in the FPGA section, but you have a CLA adder. CLA basically never makes sense in an FPGA due to routing costs. Stick to "+" or architecture-aware carry-select based adders.)

Warning I am getting after simulation.


Code:
=========================================================================
WARNING:Xst:1989 - Unit <adaptive_filter8>: instances <uut16/ut2/ut1>, <uut16/ut2/ut3> of unit <vedic2b> are equivalent, second instance is removed
WARNING:Xst:1989 - Unit <adaptive_filter8>: instances <uut16/ut2/ut1>, <uut15/ut2/ut1> of unit <vedic2b> are equivalent, second instance is removed
WARNING:Xst:1989 - Unit <adaptive_filter8>: instances <uut16/ut2/ut1>, <uut15/ut2/ut3> of unit <vedic2b> are equivalent, second instance is removed
WARNING:Xst:1989 - Unit <adaptive_filter8>: instances <uut16/ut2/ut2>, <uut16/ut2/ut4> of unit <vedic2b> are equivalent, second instance is removed
WARNING:Xst:1989 - Unit <adaptive_filter8>: instances <uut16/ut2/ut2>, <uut15/ut2/ut2> of unit <vedic2b> are equivalent, second instance is removed
WARNING:Xst:1989 - Unit <adaptive_filter8>: instances <uut16/ut2/ut2>, <uut15/ut2/ut4> of unit <vedic2b> are equivalent, second instance is removed
WARNING:Xst:1989 - Unit <adaptive_filter8>: instances <uut16/ut4/ut1>, <uut16/ut4/ut3> of unit <vedic2b> are equivalent, second instance is removed
WARNING:Xst:1989 - Unit <adaptive_filter8>: instances <uut16/ut4/ut1>, <uut15/ut4/ut1> of unit <vedic2b> are equivalent, second instance is removed
WARNING:Xst:1989 - Unit <adaptive_filter8>: instances <uut16/ut4/ut1>, <uut15/ut4/ut3> of unit <vedic2b> are equivalent, second instance is removed
WARNING:Xst:1989 - Unit <adaptive_filter8>: instances <uut16/ut4/ut2>, <uut16/ut4/ut4> of unit <vedic2b> are equivalent, second instance is removed
WARNING:Xst:1989 - Unit <adaptive_filter8>: instances <uut16/ut4/ut2>, <uut15/ut4/ut2> of unit <vedic2b> are equivalent, second instance is removed
WARNING:Xst:1989 - Unit <adaptive_filter8>: instances <uut16/ut4/ut2>, <uut15/ut4/ut4> of unit <vedic2b> are equivalent, second instance is removed
WARNING:Xst:1989 - Unit <adaptive_filter8>: instances <uut7/ut1/ut1>, <uut7/ut2/ut1> of unit <vedic2b> are equivalent, second instance is removed
WARNING:Xst:1989 - Unit <adaptive_filter8>: instances <uut7/ut1/ut1>, <uut7/ut2/ut3> of unit <vedic2b> are equivalent, second instance is removed
WARNING:Xst:1989 - Unit <adaptive_filter8>: instances <uut7/ut1/ut2>, <uut7/ut2/ut2> of unit <vedic2b> are equivalent, second instance is removed
WARNING:Xst:1989 - Unit <adaptive_filter8>: instances <uut7/ut1/ut2>, <uut7/ut2/ut4> of unit <vedic2b> are equivalent, second instance is removed
WARNING:Xst:1989 - Unit <adaptive_filter8>: instances <uut7/ut3/ut1>, <uut7/ut4/ut1> of unit <vedic2b> are equivalent, second instance is removed
WARNING:Xst:1989 - Unit <adaptive_filter8>: instances <uut7/ut3/ut1>, <uut7/ut4/ut3> of unit <vedic2b> are equivalent, second instance is removed
WARNING:Xst:1989 - Unit <adaptive_filter8>: instances <uut7/ut3/ut2>, <uut7/ut4/ut2> of unit <vedic2b> are equivalent, second instance is removed
WARNING:Xst:1989 - Unit <adaptive_filter8>: instances <uut7/ut3/ut2>, <uut7/ut4/ut4> of unit <vedic2b> are equivalent, second instance is removed
WARNING:Xst:1989 - Unit <adaptive_filter8>: instances <uut5/ut1/ut3>, <uut5/ut2/ut1> of unit <vedic2b> are equivalent, second instance is removed
WARNING:Xst:1989 - Unit <adaptive_filter8>: instances <uut5/ut1/ut3>, <uut5/ut2/ut3> of unit <vedic2b> are equivalent, second instance is removed
WARNING:Xst:1989 - Unit <adaptive_filter8>: instances <uut5/ut1/ut4>, <uut5/ut2/ut2> of unit <vedic2b> are equivalent, second instance is removed
WARNING:Xst:1989 - Unit <adaptive_filter8>: instances <uut5/ut1/ut4>, <uut5/ut2/ut4> of unit <vedic2b> are equivalent, second instance is removed
WARNING:Xst:1989 - Unit <adaptive_filter8>: instances <uut5/ut3/ut3>, <uut5/ut4/ut1> of unit <vedic2b> are equivalent, second instance is removed
WARNING:Xst:1989 - Unit <adaptive_filter8>: instances <uut5/ut3/ut3>, <uut5/ut4/ut3> of unit <vedic2b> are equivalent, second instance is removed
WARNING:Xst:1989 - Unit <adaptive_filter8>: instances <uut5/ut3/ut4>, <uut5/ut4/ut2> of unit <vedic2b> are equivalent, second instance is removed
WARNING:Xst:1989 - Unit <adaptive_filter8>: instances <uut5/ut3/ut4>, <uut5/ut4/ut4> of unit <vedic2b> are equivalent, second instance is removed
WARNING:Xst:1989 - Unit <adaptive_filter8>: instances <uut3/ut1/ut3>, <uut3/ut2/ut1> of unit <vedic2b> are equivalent, second instance is removed
WARNING:Xst:1989 - Unit <adaptive_filter8>: instances <uut3/ut1/ut3>, <uut3/ut2/ut3> of unit <vedic2b> are equivalent, second instance is removed
WARNING:Xst:1989 - Unit <adaptive_filter8>: instances <uut3/ut1/ut4>, <uut3/ut2/ut2> of unit <vedic2b> are equivalent, second instance is removed
WARNING:Xst:1989 - Unit <adaptive_filter8>: instances <uut3/ut1/ut4>, <uut3/ut2/ut4> of unit <vedic2b> are equivalent, second instance is removed
WARNING:Xst:1989 - Unit <adaptive_filter8>: instances <uut3/ut3/ut3>, <uut3/ut4/ut1> of unit <vedic2b> are equivalent, second instance is removed
WARNING:Xst:1989 - Unit <adaptive_filter8>: instances <uut3/ut3/ut3>, <uut3/ut4/ut3> of unit <vedic2b> are equivalent, second instance is removed
WARNING:Xst:1989 - Unit <adaptive_filter8>: instances <uut3/ut3/ut4>, <uut3/ut4/ut2> of unit <vedic2b> are equivalent, second instance is removed
WARNING:Xst:1989 - Unit <adaptive_filter8>: instances <uut3/ut3/ut4>, <uut3/ut4/ut4> of unit <vedic2b> are equivalent, second instance is removed
WARNING:Xst:1989 - Unit <adaptive_filter8>: instances <uut1/ut1/ut3>, <uut1/ut2/ut1> of unit <vedic2b> are equivalent, second instance is removed
WARNING:Xst:1989 - Unit <adaptive_filter8>: instances <uut1/ut1/ut3>, <uut1/ut2/ut3> of unit <vedic2b> are equivalent, second instance is removed
WARNING:Xst:1989 - Unit <adaptive_filter8>: instances <uut1/ut1/ut4>, <uut1/ut2/ut2> of unit <vedic2b> are equivalent, second instance is removed
WARNING:Xst:1989 - Unit <adaptive_filter8>: instances <uut1/ut1/ut4>, <uut1/ut2/ut4> of unit <vedic2b> are equivalent, second instance is removed
WARNING:Xst:1989 - Unit <adaptive_filter8>: instances <uut1/ut3/ut3>, <uut1/ut4/ut1> of unit <vedic2b> are equivalent, second instance is removed
WARNING:Xst:1989 - Unit <adaptive_filter8>: instances <uut1/ut3/ut3>, <uut1/ut4/ut3> of unit <vedic2b> are equivalent, second instance is removed
WARNING:Xst:1989 - Unit <adaptive_filter8>: instances <uut1/ut3/ut4>, <uut1/ut4/ut2> of unit <vedic2b> are equivalent, second instance is removed
WARNING:Xst:1989 - Unit <adaptive_filter8>: instances <uut1/ut3/ut4>, <uut1/ut4/ut4> of unit <vedic2b> are equivalent, second instance is removed

Optimizing unit <adaptive_filter8> ...

Optimizing unit <dff8> ...

Optimizing unit <cladr16b> ...

Optimizing unit <comp2s16b> ...

Optimizing unit <cladr8b> ...

Optimizing unit <vedic2b> ...
WARNING:Xst:1710 - FF/Latch <uut7/ut1/ut3/p_3> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut7/ut1/ut4/p_2> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut7/ut1/ut4/p_3> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut7/ut3/ut1/p_0> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut7/ut3/ut1/p_1> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut7/ut3/ut1/p_2> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut7/ut3/ut1/p_3> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut7/ut3/ut2/p_0> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut7/ut3/ut2/p_1> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut5/ut1/ut3/p_0> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut5/ut1/ut3/p_1> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut5/ut1/ut3/p_2> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut5/ut1/ut3/p_3> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut5/ut1/ut4/p_0> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut5/ut1/ut4/p_1> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut5/ut1/ut4/p_2> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut5/ut1/ut4/p_3> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut5/ut3/ut3/p_0> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut5/ut3/ut3/p_1> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut5/ut3/ut3/p_2> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut5/ut3/ut3/p_3> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut5/ut3/ut4/p_0> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut5/ut3/ut4/p_1> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut5/ut3/ut4/p_2> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut16/ut2/ut1/p_0> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut16/ut2/ut1/p_1> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut16/ut2/ut1/p_2> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut16/ut2/ut1/p_3> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut16/ut2/ut2/p_0> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut16/ut2/ut2/p_1> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut16/ut2/ut2/p_2> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut16/ut2/ut2/p_3> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut16/ut4/ut1/p_0> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut16/ut4/ut1/p_1> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut16/ut4/ut1/p_2> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut16/ut4/ut1/p_3> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut16/ut4/ut2/p_0> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut16/ut4/ut2/p_1> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut16/ut4/ut2/p_2> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut16/ut4/ut2/p_3> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut7/ut1/ut1/p_0> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut7/ut1/ut1/p_1> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut7/ut1/ut1/p_2> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut7/ut1/ut1/p_3> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut7/ut1/ut2/p_0> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut7/ut1/ut2/p_1> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut7/ut1/ut2/p_2> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut7/ut1/ut2/p_3> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut7/ut1/ut3/p_2> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut1/ut1/ut1/p_2> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut1/ut1/ut1/p_3> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut1/ut1/ut2/p_2> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut1/ut1/ut2/p_3> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut1/ut1/ut3/p_0> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut1/ut1/ut3/p_1> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut1/ut1/ut3/p_2> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut1/ut1/ut3/p_3> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut1/ut1/ut4/p_0> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut1/ut1/ut4/p_1> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut1/ut1/ut4/p_2> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut1/ut1/ut4/p_3> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut1/ut3/ut1/p_2> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut1/ut3/ut1/p_3> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut1/ut3/ut2/p_2> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut1/ut3/ut2/p_3> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut1/ut3/ut3/p_0> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut1/ut3/ut3/p_1> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut1/ut3/ut3/p_2> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut1/ut3/ut3/p_3> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut1/ut3/ut4/p_0> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut1/ut3/ut4/p_1> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut1/ut3/ut4/p_2> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut1/ut3/ut4/p_3> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut5/ut3/ut4/p_3> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut3/ut1/ut1/p_0> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut3/ut1/ut1/p_3> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut3/ut1/ut2/p_0> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut3/ut1/ut2/p_3> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut3/ut1/ut3/p_0> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut3/ut1/ut3/p_1> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut3/ut1/ut3/p_2> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut3/ut1/ut3/p_3> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut3/ut1/ut4/p_0> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut3/ut1/ut4/p_1> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut3/ut1/ut4/p_2> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut3/ut1/ut4/p_3> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut3/ut3/ut1/p_0> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut3/ut3/ut1/p_3> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut3/ut3/ut2/p_0> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut3/ut3/ut2/p_3> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut3/ut3/ut3/p_0> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut3/ut3/ut3/p_1> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut3/ut3/ut3/p_2> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut3/ut3/ut3/p_3> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut3/ut3/ut4/p_0> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut3/ut3/ut4/p_1> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut3/ut3/ut4/p_2> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut3/ut3/ut4/p_3> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:2677 - Node <uut12/s_15> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut12/s_14> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut12/s_13> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut12/s_12> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut12/s_11> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut12/s_10> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut12/s_9> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut12/s_8> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut7/ut3/ut4/p_3> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut7/ut3/ut4/p_2> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut7/ut3/ut4/p_1> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut7/ut3/ut4/p_0> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut7/ut3/ut3/p_3> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut7/ut3/ut3/p_2> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut7/ut3/ut2/p_3> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut7/ut3/ut2/p_2> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut14/ut4/ut4/p_3> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut14/ut4/ut4/p_2> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut14/ut4/ut4/p_1> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut14/ut4/ut4/p_0> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut14/ut4/ut3/p_3> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut14/ut4/ut3/p_2> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut14/ut4/ut3/p_1> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut14/ut4/ut3/p_0> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut14/ut4/ut2/p_3> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut14/ut4/ut2/p_2> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut14/ut4/ut2/p_1> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut14/ut4/ut2/p_0> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut14/ut4/ut1/p_3> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut14/ut4/ut1/p_2> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut14/ut4/ut1/p_1> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut14/ut4/ut1/p_0> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut14/ut3/ut4/p_3> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut14/ut3/ut4/p_2> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut14/ut3/ut4/p_1> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut14/ut3/ut4/p_0> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut14/ut3/ut3/p_3> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut14/ut3/ut3/p_2> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut14/ut3/ut2/p_3> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut14/ut3/ut2/p_2> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut14/ut2/ut4/p_3> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut14/ut2/ut4/p_2> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut14/ut2/ut4/p_1> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut14/ut2/ut4/p_0> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut14/ut2/ut3/p_3> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut14/ut2/ut3/p_2> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut14/ut2/ut2/p_3> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut14/ut2/ut2/p_2> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut15/ut1/ut3/p_1> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut15/ut1/ut3/p_0> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut15/ut1/ut2/p_1> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut15/ut1/ut2/p_0> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut15/ut1/ut1/p_3> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut15/ut1/ut1/p_2> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut15/ut1/ut1/p_1> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut15/ut1/ut1/p_0> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut16/ut3/ut4/p_3> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut16/ut3/ut4/p_2> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut16/ut3/ut4/p_1> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut16/ut3/ut4/p_0> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut16/ut3/ut3/p_3> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut16/ut3/ut3/p_2> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut16/ut3/ut2/p_3> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut16/ut3/ut2/p_2> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut22/ut4/ut4/p_3> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut22/ut4/ut4/p_2> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut22/ut4/ut4/p_1> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut22/ut4/ut4/p_0> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut22/ut4/ut3/p_3> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut22/ut4/ut3/p_2> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut22/ut4/ut3/p_1> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut22/ut4/ut3/p_0> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut22/ut4/ut2/p_3> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut22/ut4/ut2/p_2> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut22/ut4/ut2/p_1> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut22/ut4/ut2/p_0> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut22/ut4/ut1/p_3> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut22/ut4/ut1/p_2> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut22/ut4/ut1/p_1> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut22/ut4/ut1/p_0> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut22/ut3/ut4/p_3> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut22/ut3/ut4/p_2> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut22/ut3/ut4/p_1> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut22/ut3/ut4/p_0> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut22/ut3/ut3/p_3> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut22/ut3/ut3/p_2> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut22/ut3/ut3/p_1> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut22/ut3/ut3/p_0> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut22/ut3/ut2/p_3> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut22/ut3/ut2/p_2> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut22/ut3/ut2/p_1> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut22/ut3/ut2/p_0> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut22/ut3/ut1/p_3> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut22/ut3/ut1/p_2> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut22/ut3/ut1/p_1> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut22/ut3/ut1/p_0> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut22/ut2/ut4/p_3> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut22/ut2/ut4/p_2> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut22/ut2/ut4/p_1> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut22/ut2/ut4/p_0> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut22/ut2/ut3/p_3> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut22/ut2/ut3/p_2> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut22/ut2/ut3/p_1> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut22/ut2/ut3/p_0> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut22/ut2/ut2/p_3> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut22/ut2/ut2/p_2> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut22/ut2/ut2/p_1> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut22/ut2/ut2/p_0> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut22/ut2/ut1/p_3> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut22/ut2/ut1/p_2> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut22/ut2/ut1/p_1> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut22/ut2/ut1/p_0> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut22/ut1/ut4/p_3> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut22/ut1/ut4/p_2> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut22/ut1/ut4/p_1> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut22/ut1/ut4/p_0> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut22/ut1/ut3/p_3> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut22/ut1/ut3/p_2> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut22/ut1/ut3/p_1> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut22/ut1/ut3/p_0> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut22/ut1/ut2/p_3> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut22/ut1/ut2/p_2> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut22/ut1/ut2/p_1> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut22/ut1/ut2/p_0> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut22/ut1/ut1/p_3> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut22/ut1/ut1/p_2> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut22/ut1/ut1/p_1> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut22/ut1/ut1/p_0> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut24/ut4/ut4/p_3> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut24/ut4/ut4/p_2> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut24/ut4/ut4/p_1> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut24/ut4/ut4/p_0> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut24/ut4/ut3/p_3> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut24/ut4/ut3/p_2> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut24/ut4/ut3/p_1> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut24/ut4/ut3/p_0> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut24/ut4/ut2/p_3> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut24/ut4/ut2/p_2> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut24/ut4/ut2/p_1> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut24/ut4/ut2/p_0> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut24/ut4/ut1/p_3> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut24/ut4/ut1/p_2> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut24/ut4/ut1/p_1> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut24/ut4/ut1/p_0> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut24/ut3/ut4/p_3> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut24/ut3/ut4/p_2> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut24/ut3/ut4/p_1> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut24/ut3/ut4/p_0> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut24/ut3/ut3/p_3> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut24/ut3/ut3/p_2> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut24/ut3/ut3/p_1> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut24/ut3/ut3/p_0> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut24/ut3/ut2/p_3> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut24/ut3/ut2/p_2> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut24/ut3/ut2/p_1> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut24/ut3/ut2/p_0> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut24/ut3/ut1/p_3> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut24/ut3/ut1/p_2> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut24/ut3/ut1/p_1> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut24/ut3/ut1/p_0> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut24/ut2/ut4/p_3> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut24/ut2/ut4/p_2> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut24/ut2/ut4/p_1> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut24/ut2/ut4/p_0> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut24/ut2/ut3/p_3> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut24/ut2/ut3/p_2> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut24/ut2/ut3/p_1> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut24/ut2/ut3/p_0> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut24/ut2/ut2/p_3> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut24/ut2/ut2/p_2> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut24/ut2/ut2/p_1> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut24/ut2/ut2/p_0> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut24/ut2/ut1/p_3> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut24/ut2/ut1/p_2> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut24/ut2/ut1/p_1> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut24/ut2/ut1/p_0> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut24/ut1/ut4/p_3> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut24/ut1/ut4/p_2> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut24/ut1/ut4/p_1> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut24/ut1/ut4/p_0> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut24/ut1/ut3/p_3> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut24/ut1/ut3/p_2> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut24/ut1/ut3/p_1> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut24/ut1/ut3/p_0> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut24/ut1/ut2/p_3> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut24/ut1/ut2/p_2> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut24/ut1/ut2/p_1> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut24/ut1/ut2/p_0> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut24/ut1/ut1/p_3> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut24/ut1/ut1/p_2> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut24/ut1/ut1/p_1> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut24/ut1/ut1/p_0> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut26/ut4/ut4/p_3> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut26/ut4/ut4/p_2> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut26/ut4/ut4/p_1> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut26/ut4/ut4/p_0> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut26/ut4/ut3/p_3> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut26/ut4/ut3/p_2> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut26/ut4/ut3/p_1> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut26/ut4/ut3/p_0> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut26/ut4/ut2/p_3> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut26/ut4/ut2/p_2> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut26/ut4/ut2/p_1> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut26/ut4/ut2/p_0> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut26/ut4/ut1/p_3> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut26/ut4/ut1/p_2> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut26/ut4/ut1/p_1> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut26/ut4/ut1/p_0> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut26/ut3/ut4/p_3> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut26/ut3/ut4/p_2> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut26/ut3/ut4/p_1> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut26/ut3/ut4/p_0> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut26/ut3/ut3/p_3> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut26/ut3/ut3/p_2> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut26/ut3/ut3/p_1> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut26/ut3/ut3/p_0> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut26/ut3/ut2/p_3> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut26/ut3/ut2/p_2> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut26/ut3/ut2/p_1> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut26/ut3/ut2/p_0> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut26/ut3/ut1/p_3> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut26/ut3/ut1/p_2> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut26/ut3/ut1/p_1> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut26/ut3/ut1/p_0> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut26/ut2/ut4/p_3> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut26/ut2/ut4/p_2> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut26/ut2/ut4/p_1> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut26/ut2/ut4/p_0> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut26/ut2/ut3/p_3> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut26/ut2/ut3/p_2> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut26/ut2/ut3/p_1> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut26/ut2/ut3/p_0> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut26/ut2/ut2/p_3> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut26/ut2/ut2/p_2> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut26/ut2/ut2/p_1> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut26/ut2/ut2/p_0> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut26/ut2/ut1/p_3> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut26/ut2/ut1/p_2> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut26/ut2/ut1/p_1> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut26/ut2/ut1/p_0> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut26/ut1/ut4/p_3> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut26/ut1/ut4/p_2> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut26/ut1/ut4/p_1> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut26/ut1/ut4/p_0> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut26/ut1/ut3/p_3> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut26/ut1/ut3/p_2> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut26/ut1/ut3/p_1> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut26/ut1/ut3/p_0> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut26/ut1/ut2/p_3> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut26/ut1/ut2/p_2> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut26/ut1/ut2/p_1> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut26/ut1/ut2/p_0> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut26/ut1/ut1/p_3> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut26/ut1/ut1/p_2> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut26/ut1/ut1/p_1> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:2677 - Node <uut26/ut1/ut1/p_0> of sequential type is unconnected in block <adaptive_filter8>.
WARNING:Xst:1710 - FF/Latch <uut28/ut3/ut2/p_3> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut28/ut3/ut2/p_0> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut28/ut3/ut1/p_3> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut28/ut3/ut1/p_0> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut28/ut1/ut2/p_3> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut28/ut1/ut2/p_0> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut28/ut1/ut1/p_3> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <uut28/ut1/ut1/p_0> (without init value) has a constant value of 0 in block <adaptive_filter8>. This FF/Latch will be trimmed during the optimization process.
 
Last edited by a moderator:

I have two problems with the code:
- I'm missing a description of the implemented "adaptive filter", e.g. a block diagram to check the code against it
- I don't understand why elementary operations like carry look-ahead adders are coded explicitly instead of using arithmetic expressions and let the compiler implement it.

You get warnings about inputs not driving logic and undriven nets, looks like an error in the filter topology.
 

- I don't understand why elementary operations like carry look-ahead adders are coded explicitly instead of using arithmetic expressions and let the compiler implement it.

.

Because we have implement hardware logic using vedic mathamatics

That we have to show in code.

- - - Updated - - -

You get warnings about inputs not driving logic and undriven nets, looks like an error in the filter topology.


Right I have cheeked my code module is not connected properly. I have little bit dought in transferring variable between modules.

- - - Updated - - -

- I'm missing a description of the implemented "adaptive filter", e.g. a block diagram to check the code against it

block diagram

LMS.JPG

- - - Updated - - -

Hello FM

I am attaching results for you.
I think there is some problem with variable not getting link with other module written in code.

View attachment 125887

View attachment 125888

View attachment 125889

I have already posted my code in post 5.

After looking at simulating result I have find out that my product code is not working.

Code:
//8 bit vedic multiplier
module v8b(P,A,B,clk);
input [7:0]A,B;
input clk;
output [15:0]P;
wire [7:0] product1,product2,product3,product4,pro3;
wire [7:0]sum;
wire [3:0] pro1,pro2,op1;
wire [3:0] op2; 
v4b ut1(.P(product1),.A({A[3:0]}),.B({B[3:0]}),.clk(clk));
assign pro1=product1[3:0];
v4b ut2(.P(product2),.A({A[3:0]}),.B({B[7:4]}),.clk(clk));
v4b ut3(.P(product3),.A({A[7:4]}),.B({B[3:0]}),.clk(clk));
v4b ut4(.P(product4),.A({A[7:4]}),.B({B[7:4]}),.clk(clk));
csa_8b cuut(.d(product2),.e(product3),.f(product1[7:4]),.op1(op1),.op2(op2),.clk(clk));
assign pro2=op1;
csa_8b2 auut(.d(product4),.e(op2),.op1(sum),.clk(clk));
assign pro3=sum;
assign P={pro3,pro2,pro1};
endmodule
 

I see that you have presently implemented fixed instead of adaptive filter coefficients, so the filter should output non-'X' values after some clock cycles. But you don't show any simulation results that are running longer than 1 cycle. So we can't see meaningful output.

In the final design, you'll need a mechanism that delays the adaptive logic until all unknown values have disappeared.

If you're unsure about correct operation of your "homemade" adders, you'll test them separately before putting the design together.
 

I see that you have presently implemented fixed instead of adaptive filter coefficients, so the filter should output non-'X' values after some clock cycles. But you don't show any simulation results that are running longer than 1 cycle. So we can't see meaningful output.

In the final design, you'll need a mechanism that delays the adaptive logic until all unknown values have disappeared.

If you're unsure about correct operation of your "homemade" adders, you'll test them separately before putting the design together.

after ruining for multi pal cycle I get same value as in single cycle.
 

The code in #11 is incomplete it's missing the cladr module. Using the cladr from post #5. I see Z's coming out of it.


Code Verilog - [expand]
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
module cladr(sum,x,y,clk); 
 output [3:0] sum; 
input [3:0] x,y; 
input clk;
parameter cin=1'b0; 
//output cout;
wire [3:0] g,p; 
wire [4:0] c; 
assign c[0] = cin; 
assign g[3:0] = x[3:0] & y[3:0]; 
assign p[3:0] = x[3:0] ^ y[3:0]; 
assign c[1] = g[0] | (p[0]&cin); 
assign c[4] = g[3] | (p[3]&g[2]) | (p[3]&p[2]&g[1]) | 
(p[3]&p[2]&p[1]&g[0]) | (p[3]&p[2]&p[1]&p[0]&cin); 
assign sum[3:0] = p[3:0] ^ c[3:0]; 
//assign cout=c[4]; 
endmodule


c[2] and c[3] are never assigned.

These two unassigned bits cause a plethora of Zs all over the simulation as that module seems to be used a lot.

You need to learn to trace signals through the design. Starting with the v8b-uut1 thru v4b-ut1 thru cladr-auut or csa6-cuut thru cladr-da1. Other than the horrible naming conventions, excessive reassigning of bits using assigns, and the fact that it's structural code...it's relatively easy to find one (of the) problem(s).

- - - Updated - - -

Update...
Replicating the pattern for computing c and rerunning a simulation shows that is definitely the root of your problem.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top