Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

quadrature vco simulation in ads

Status
Not open for further replies.

morfozar

Junior Member level 2
Joined
Mar 14, 2011
Messages
22
Helped
4
Reputation
8
Reaction score
5
Trophy points
1,283
Activity points
1,461
Hello.

I design quadrature VCO in ADS. What is the best place for Oscport in below circuit?
Unbenannt2.PNG
I read recommendations for Oscport in negative resistance oscillators (page 8). I can use only one port and I put it between resonator and negative resistance pair.
When I simulated output frequency in transient analyse it was 50.5GHz with 200mV output voltage, but in HB it is 36.77GHz with 65mV output voltage.
Next I checked it in spectre in cadence, transient shows 50GHz and 214 mV and with pss analyse I got 51.3GHz with 265mV.

All tools with fresh versions.

Has anybody experience with it? Which results are more accurate? And how simulation need to be performed?
 

I can use only one port and I put it between resonator and negative resistance pair.
Use "OscPort2 (Differential Oscillator Port)" not "OscPort (Grounded Oscillator Port)".
**broken link removed**

However you don't need to use "OscPort" or "OscPort2" at all.
Specify two nodes, e.g. Io1 and Io2 as oscillation nodes just same as Autonomous PSS Analysis in Cadence Spectre.

Show me ADS netlist regarding S-parameter box definitions(n-port), analysis statements and option statements.
And show me simulation logfile for both Transient and HB.

ADSsim's netlist exists as "netlist.log" in project directory.
Basically there are three methods to get netlist in Agilent ADS.
Here I excluded method in RFDE.

(1) Run ADSsim simulation

(2) Use Dynamic Link Menu ; DynamicLink > Top-level Design Netlist
https://edadocs.software.keysight.com/display/ads2009/Netlisting,+Simulating,+and+Displaying+Data

(3) Use AEL from AEL command console window which is similar to Cadence's CIW
Open a command line dialog (use Tools->Command Line... menu),
and enter "de_netlist();" at the "Command>>" prompt.

If you use (2) or (3), you can generate netlist without running simulation.

Next I checked it in spectre in cadence,
transient shows 50GHz and 214 mV and with pss analyse I got 51.3GHz with 265mV.
What method do you use as PSS, Shooting-Newton or HB ?
PSS is a generic name which means Periodic Steady State Analysis.
Major two PSS method are HB and Shooting-Newton.
Read my appends in the followings.
https://www.edaboard.com/threads/195061/
https://www.edaboard.com/threads/288049/

You use S-parameter box as Inductor.
Show me Spectre Netlist regarding S-parameter box definitions(n-port), analysis statements and option statements.
And show me simulation logfile for both Transient and PSS.

When I simulated output frequency in transient analyse it was 50.5GHz with 200mV output voltage,
but in HB it is 36.77GHz with 65mV output voltage.

Next I checked it in spectre in cadence,
transient shows 50GHz and 214 mV
and with pss analyse I got 51.3GHz with 265mV.
..................
Which results are more accurate?
I think result of ADS's HB is most accurate since you use S-parameter box.
However I can't judge, since I don't see your ADS netlist and Spectre netlist and simulation logfile.

Try Autonomous-HB-PSS in Cadence Spectre.
 
Last edited:

pancho_hideboo,

What method do you use as PSS, Shooting-Newton or HB ?

I used PSS with HB in Cadence Spectre.
In ADS I tried to use HB without OscPort, but result was the same 36.77GHz with 65mV output voltage.

I simulated circuit with OscTest and got following results. The circuit need to resonate when phase cross 0 point and magnitude more then 1, but why it occurs so fast? And this point is very close to what I have from HB (36.77GHz). It's look that I need change biasing and inductance. Could you explain this behavior and why I did'n get it in Cadence PSS-HB?
Unbenannt3.PNG

Netlist from ADS from method 2.
Code:
Options ResourceUsage=yes UseNutmegFormat=no EnableOptim=no TopDesignName="cellcharm_SG13_lib:test_vco:schematic"
define varactor_pmos ( "Varact+"  "Varact-"  Vctrl ) 
;parameters 
sg13_lv_pmos:pmos1  Vctrl "Varact+" Vctrl N__1 Model="sg13_lv_pmos" w=3.5 um l=3.5 um ng=1 nrd=0 nrs=0 _M=1 
sg13_lv_pmos:pmos2  Vctrl "Varact-" Vctrl N__6 Model="sg13_lv_pmos" w=3.5 um l=3.5 um ng=1 nrd=0 nrs=0 _M=1 
ntap1:ntap1  N__1 Vctrl R=35.622 Ohm 
ntap1:ntap2  N__6 Vctrl R=35.622 Ohm 
end varactor_pmos
define vco_core ( Ib1  Ib2  Qb1  Qb2  Vcm  Vctrl  vcc  vee ) 
;parameters 
"npn13pl":npn13pl1 Vm  Vm  vee  sub le=1 um Nx=4 Ny=1 we=0.12 um _M=1
res_rppd:R1 Vcm Vm sub R=1000 Ohm w=14 um l=55.72 um b=0 ps=0.18 um _M=1 trise=0.0
"npn13pl":npn13pl2 N__6  Vm  vee  sub le=1 um Nx=4 Ny=1 we=0.12 um _M=1
"npn13pl":npn13pl3 Qb1  Ib1  N__6  sub le=1 um Nx=2 Ny=1 we=0.12 um _M=1
"npn13pl":npn13pl4 Qb2  Ib2  N__6  sub le=1 um Nx=2 Ny=1 we=0.12 um _M=1
"npn13pl":npn13pl5 Ib1  Ib2  N__15  sub le=1 um Nx=2 Ny=1 we=0.12 um _M=1
"npn13pl":npn13pl6 Ib2  Ib1  N__15  sub le=1 um Nx=2 Ny=1 we=0.12 um _M=1
"npn13pl":npn13pl7 N__15  Vm  vee  sub le=1 um Nx=4 Ny=1 we=0.12 um _M=1
varactor_pmos:I__8  Ib1 Ib2 Vctrl 
"npn13pl":npn13pl8 Ib1  Qb2  N__19  sub le=1 um Nx=2 Ny=1 we=0.12 um _M=1
varactor_pmos:I__10  Qb1 Qb2 Vctrl 
"npn13pl":npn13pl9 Qb1  Qb2  N__4  sub le=1 um Nx=2 Ny=1 we=0.12 um _M=1
"npn13pl":npn13pl10 N__4  Vm  vee  sub le=1 um Nx=4 Ny=1 we=0.12 um _M=1
"npn13pl":npn13pl11 Qb2  Qb1  N__4  sub le=1 um Nx=2 Ny=1 we=0.12 um _M=1
"npn13pl":npn13pl12 Ib2  Qb1  N__19  sub le=1 um Nx=2 Ny=1 we=0.12 um _M=1
"npn13pl":npn13pl13 N__19  Vm  vee  sub le=1 um Nx=4 Ny=1 we=0.12 um _M=1
ptap1:ptap1  vee sub R=262.847 Ohm 
#uselib "ckt" , "S2P"
S2P:S2P4  vcc Qb2 0 File="H:\hfss_proj\Inductor_125pH.hfssresults\Inductor_125pH_HFSSDesign1_0-100GHz.s2p" Type="touchstone" InterpMode="linear" InterpDom="" Temp=27.0 
#uselib "ckt" , "S2P"
S2P:S2P1  vcc Ib1 0 File="H:\hfss_proj\Inductor_125pH.hfssresults\Inductor_125pH_HFSSDesign1_0-100GHz.s2p" Type="touchstone" InterpMode="linear" InterpDom="" Temp=27.0 
#uselib "ckt" , "S2P"
S2P:S2P3  vcc Qb1 0 File="H:\hfss_proj\Inductor_125pH.hfssresults\Inductor_125pH_HFSSDesign1_0-100GHz.s2p" Type="touchstone" InterpMode="linear" InterpDom="" Temp=27.0 
#uselib "ckt" , "S2P"
S2P:S2P2  vcc Ib2 0 File="H:\hfss_proj\Inductor_125pH.hfssresults\Inductor_125pH_HFSSDesign1_0-100GHz.s2p" Type="touchstone" InterpMode="linear" InterpDom="" Temp=27.0 
I_Source:SRC5  Ib1 Ib2 Type="ItPWL" I_Tran=pwl(time, 0ns,0mA, 0.5ps,1mA, 1ps,0mA, 1.5ps, -1mA, 2ps,0mA) 
I_Source:SRC6  Qb1 Qb2 Type="ItPWL" I_Tran=pwl(time, 0ns,0mA, 0.5ps,1mA, 1ps,0mA, 1.5ps, -1mA, 2ps,0mA) 
end vco_core
HB:HB1 MaxOrder=4 Freq[1]=50 GHz Order[1]=10 StatusLevel=2 Restart=no OutputBudgetIV=no OscPortName="HB1_OP" \
OutputPlan="HB1_Output" 

OutputPlan:HB1_Output \
      Type="Output" \
      UseNodeNestLevel=yes \
      NodeNestLevel=2 \
      UseEquationNestLevel=yes \
      EquationNestLevel=2 \
      UseSavedEquationNestLevel=yes \
      SavedEquationNestLevel=2 \
      UseDeviceCurrentNestLevel=yes \
      DeviceCurrentNestLevel=0 \
      DeviceCurrentDeviceType="All" \
      DeviceCurrentSymSyntax=yes \
      UseCurrentNestLevel=yes \
      CurrentNestLevel=999 \
      UseDeviceVoltageNestLevel=no \
      DeviceVoltageNestLevel=0 \
      DeviceVoltageDeviceType="All"

OscProbe:HB1_OP  Node[1]="Vout" Node[2]="Vout2" FundIndex=1 Harm=1 NumOctaves=7 Steps=50

Tran:HB1_tran HB_Sol=1 SteadyState=1 StatusLevel=3 \
Freq[1]=50 GHz Order[1]=10 \
OutputPlan="HB1_Output"

Component:tahb_HB1 Module="ATAHB" Type="ModelExtractor" \ 
 Tran_Analysis="HB1_tran" HB_Analysis="HB1" 


Ios=3m
Vctrl=-1 tune{ -1.65 to -0.45 by 0.05 }
simulator lang=spectre
include "U:/design kits/SG13S/sg13s_ads2014_rev0.5.10/circuit/models/tech/SG13_HBT/VBIC/spectre/SG13_cornerBIP.scs" section=typ
include "U:/design kits/SG13S/sg13s_ads2014_rev0.5.10/circuit/models/tech/SG13_MOS/library/spectre/cornerMOSlv_psp.scs" section=tt
include "U:/design kits/SG13S/sg13s_ads2014_rev0.5.10/circuit/models/tech/SG13_MOS/library/spectre/cornerMOShv_psp.scs" section=tt
include "U:/design kits/SG13S/sg13s_ads2014_rev0.5.10/circuit/models/tech/SG13_PASSIVES/spectre/SG13_cornerRES.scs" section=typ
include "U:/design kits/SG13S/sg13s_ads2014_rev0.5.10/circuit/models/tech/SG13_PASSIVES/spectre/SG13_cornerCAP.scs" section=typ
include "U:/design kits/SG13S/sg13s_ads2014_rev0.5.10/circuit/models/tech/SG13_PASSIVES/spectre/SG13_dschottky_nw.lib"
include "U:/design kits/SG13S/sg13s_ads2014_rev0.5.10/circuit/models/tech/SG13_PASSIVES/spectre/SG13_dschottky_bl.lib"
include "U:/design kits/SG13S/sg13s_ads2014_rev0.5.10/circuit/models/tech/SG13_PASSIVES/spectre/Ind_unit_2PI"
include "U:/design kits/SG13S/sg13s_ads2014_rev0.5.10/circuit/models/tech/SG13_PASSIVES/spectre/SG13_diodes.lib"
include "U:/design kits/SG13S/sg13s_ads2014_rev0.5.10/circuit/models/tech/SG13_ESD/spectre/SG13_esd.lib"
simulator lang=ads
I_Source:SRC1  0 N__11 Type="I_DC" Idc=Ios 
V_Source:SRC2  N__32 0 Type="V_DC" Vdc=Vctrl SaveCurrent=1 
V_Source:SRC3  N__17 0 Type="V_DC" Vdc=-1.65 V SaveCurrent=1 
V_Source:SRC4  N__3 0 Type="V_DC" Vdc=-3.3 V SaveCurrent=1 
Tran:Tran1 StartTime=0 psec StopTime=500 psec MaxTimeStep=0.5 psec LimitStepForTL=yes TimeStepControl=2 TruncTol=7.0 ChargeTol=1.0e-14 IntegMethod=0 MaxGearOrder=2 \
Mu=0.5 MaxOrder=4 Freq[1]=1.0 GHz Order[1]=3 HB_Window=no \
HB_Sol=no ImpApprox=no ShortTL_Delay=1.0 psec ImpMode=1 UseInitCond=no \
LoadGminDC=no CheckKCL=yes CheckOnlyDeltaV=yes OverloadAlert=no DeviceBypass=no \
MaxIters=10 MaxItersDC=200 DevOpPtLevel=0 StatusLevel=2 OutputAllPoints=yes \
NoiseScale=1 ImpEnforcePassivity=yes \
OutputPlan="Tran1_Output" 

OutputPlan:Tran1_Output \
      Type="Output" \
      UseNodeNestLevel=yes \
      NodeNestLevel=2 \
      UseEquationNestLevel=yes \
      EquationNestLevel=2 \
      UseSavedEquationNestLevel=yes \
      SavedEquationNestLevel=2 \
      UseDeviceCurrentNestLevel=no \
      DeviceCurrentNestLevel=0 \
      DeviceCurrentDeviceType="All" \
      DeviceCurrentSymSyntax=yes \
      UseCurrentNestLevel=yes \
      CurrentNestLevel=999 \
      UseDeviceVoltageNestLevel=no \
      DeviceVoltageNestLevel=0 \
      DeviceVoltageDeviceType="All"

C:C1  Ib1 Vout C=100 nF 
C:C4  Qb1 Vout3 C=100 nF 
C:C3  Qb2 Vout4 C=100 nF 
C:C2  Ib2 Vout2 C=100 nF 
vco_core:I__20  Ib1 Ib2 Qb1 Qb2 N__11 N__32 N__17 N__3

Simulation results:
testbench Unbenannt4.PNG Tran Unbenannt6.PNG HB Unbenannt7.PNG
 

Show me Spectre netlist.

Show me simulation logfile for both ads and spectre.

N-port treatment is critical in your case, since it flow dc bias current.
 
Last edited:

pancho_hideboo,

Spectre log file
Code:
Cadence (R) Virtuoso (R) Spectre (R) Circuit Simulator
Version 13.1.1.252.isr11 64bit -- 14 Aug 2014
Copyright (C) 1989-2014 Cadence Design Systems, Inc. All rights reserved worldwide. Cadence, Virtuoso and Spectre are registered trademarks of Cadence Design Systems, Inc. All others are the property of their respective holders.

Includes RSA BSAFE(R) Cryptographic or Security Protocol Software from RSA Security, Inc.

User: cpb3902   Host: minerva   HostID: 1C860418   PID: 21559
Memory  available: 58.8226 MB  physical: 10.4753 GB
CPU Type: Intel(R) Xeon(R) CPU           E5430  @ 2.66GHz
          Processor PhysicalID CoreID Frequency Load
              0         0        0     1999.0     6.4
              1         0        1     1999.0     1.7
              2         0        2     1999.0     2.1
              3         0        3     1999.0     1.6
              4         1        0     1999.0     2.5
              5         1        1     1999.0     1.8
              6         1        2     1999.0     2.2
              7         1        3     1999.0     1.4


Simulating `input.scs' on minerva at 10:12:06 AM, Mon Nov 2, 2015 (process id: 21559).
Current working directory: /mnt/sdb1/home/et5/cpb3902/work_IHP2015/skel/cds/sim/VCO_quadrature_test2/spectre/schematic/netlist
Command line:
     \
        /eda/cadence/2014-15/RHELx86/MMSIM_13.11.252/tools.lnx86/bin/spectre  \
        -64 input.scs +escchars +log ../psf/spectre.out +inter=mpsc  \
        +mpssession=spectre6_25498_41 -format psfxl -raw ../psf  \
        +lqtimeout 900 -maxw 5 -maxn 5
spectre pid = 21559

Loading /eda/cadence/2014-15/RHELx86/MMSIM_13.11.252/tools.lnx86/cmi/lib/64bit/5.0/libinfineon_sh.so ...
Loading /eda/cadence/2014-15/RHELx86/MMSIM_13.11.252/tools.lnx86/cmi/lib/64bit/5.0/libphilips_o_sh.so ...
Loading /eda/cadence/2014-15/RHELx86/MMSIM_13.11.252/tools.lnx86/cmi/lib/64bit/5.0/libphilips_sh.so ...
Loading /eda/cadence/2014-15/RHELx86/MMSIM_13.11.252/tools.lnx86/cmi/lib/64bit/5.0/libsparam_sh.so ...
Loading /eda/cadence/2014-15/RHELx86/MMSIM_13.11.252/tools.lnx86/cmi/lib/64bit/5.0/libstmodels_sh.so ...
Reading file:  /mnt/sdb1/home/et5/cpb3902/work_IHP2015/skel/cds/sim/VCO_quadrature_test2/spectre/schematic/netlist/input.scs
Reading link:  /eda
Reading file:  /mnt/sdb1/eda/cadence/2014-15/RHELx86/MMSIM_13.11.252/tools.lnx86/spectre/etc/configs/spectre.cfg
Reading link:  /cds
Reading file:  /mnt/sdb1/designtools/cds/kits/SG13S_615_rev0.8.2_a/tech/SG13_HBT/spectre/SG13_cornerBIP.scs
Reading file:  /mnt/sdb1/designtools/cds/kits/SG13S_615_rev0.8.2_a/tech/SG13_HBT/spectre/SG13_hbt.lib
Reading file:  /mnt/sdb1/designtools/cds/kits/SG13S_615_rev0.8.2_a/tech/SG13_HBT/spectre/SG13_hbt_stat.scs
Reading file:  /mnt/sdb1/designtools/cds/kits/SG13S_615_rev0.8.2_a/tech/SG13_HBT/spectre/SG13_hbt_mm.scs
Reading file:  /mnt/sdb1/designtools/cds/kits/SG13S_615_rev0.8.2_a/tech/SG13_MOS/library/spectre/cornerMOSlv_psp.scs
Reading file:  /mnt/sdb1/designtools/cds/kits/SG13S_615_rev0.8.2_a/tech/SG13_MOS/library/spectre/sg13_moslv_psp_stat.scs
Reading file:  /mnt/sdb1/designtools/cds/kits/SG13S_615_rev0.8.2_a/tech/SG13_MOS/library/spectre/sg13_moslv_psp_mod.lib
Reading file:  /mnt/sdb1/designtools/cds/kits/SG13S_615_rev0.8.2_a/tech/SG13_MOS/library/spectre/sg13_moslv_psp_parm.scs
Reading file:  /mnt/sdb1/designtools/cds/kits/SG13S_615_rev0.8.2_a/tech/SG13_MOS/library/spectre/cornerMOShv_psp.scs
Reading file:  /mnt/sdb1/designtools/cds/kits/SG13S_615_rev0.8.2_a/tech/SG13_MOS/library/spectre/sg13_moshv_psp_stat.scs
Reading file:  /mnt/sdb1/designtools/cds/kits/SG13S_615_rev0.8.2_a/tech/SG13_MOS/library/spectre/sg13_moshv_psp_mod.lib
Reading file:  /mnt/sdb1/designtools/cds/kits/SG13S_615_rev0.8.2_a/tech/SG13_MOS/library/spectre/sg13_moshv_psp_parm.scs
Reading file:  /mnt/sdb1/designtools/cds/kits/SG13S_615_rev0.8.2_a/tech/SG13_MOS/library/spectre/sg13_svaricaphv_mosvar_mod.lib
Reading file:  /mnt/sdb1/designtools/cds/kits/SG13S_615_rev0.8.2_a/tech/SG13_PASSIVES/spectre/SG13_cornerRES.scs
Reading file:  /mnt/sdb1/designtools/cds/kits/SG13S_615_rev0.8.2_a/tech/SG13_PASSIVES/spectre/SG13_res_param.scs
Reading file:  /mnt/sdb1/designtools/cds/kits/SG13S_615_rev0.8.2_a/tech/SG13_PASSIVES/spectre/SG13_res_mm.scs
Reading file:  /mnt/sdb1/designtools/cds/kits/SG13S_615_rev0.8.2_a/tech/SG13_PASSIVES/spectre/SG13_res_stat.scs
Reading file:  /mnt/sdb1/designtools/cds/kits/SG13S_615_rev0.8.2_a/tech/SG13_PASSIVES/spectre/SG13_res.lib
Reading file:  /mnt/sdb1/designtools/cds/kits/SG13S_615_rev0.8.2_a/tech/SG13_PASSIVES/spectre/SG13_cornerCAP.scs
Reading file:  /mnt/sdb1/designtools/cds/kits/SG13S_615_rev0.8.2_a/tech/SG13_PASSIVES/spectre/SG13_cap_mm.scs
Reading file:  /mnt/sdb1/designtools/cds/kits/SG13S_615_rev0.8.2_a/tech/SG13_PASSIVES/spectre/SG13_cap_stat.scs
Reading file:  /mnt/sdb1/designtools/cds/kits/SG13S_615_rev0.8.2_a/tech/SG13_PASSIVES/spectre/SG13_cap.lib
Reading file:  /mnt/sdb1/designtools/cds/kits/SG13S_615_rev0.8.2_a/tech/SG13_PASSIVES/spectre/SG13_dschottky_nw.lib
Reading file:  /mnt/sdb1/designtools/cds/kits/SG13S_615_rev0.8.2_a/tech/SG13_PASSIVES/spectre/SG13_dschottky_bl.lib
Reading file:  /mnt/sdb1/designtools/cds/kits/SG13S_615_rev0.8.2_a/tech/SG13_PASSIVES/spectre/SG13_diodes.lib
Reading file:  /mnt/sdb1/designtools/cds/kits/SG13S_615_rev0.8.2_a/tech/SG13_ESD/spectre/SG13_esd.lib
Time for NDB Parsing: CPU = 127.981 ms, elapsed = 1.79619 s.
Time accumulated: CPU = 166.974 ms, elapsed = 1.79619 s.
Peak resident memory used = 37.4 Mbytes.

Reading link:  /eda/cadence/2014-15/RHELx86/MMSIM_13.11.252/tools.lnx86/spectre/etc/ahdl/discipline.h
Reading file:  /mnt/sdb1/eda/cadence/2014-15/RHELx86/MMSIM_13.11.252/tools.lnx86/spectre/etc/ahdl/disciplines.vams
Reading link:  /eda/cadence/2014-15/RHELx86/MMSIM_13.11.252/tools.lnx86/spectre/etc/ahdl/constants.h
Reading file:  /mnt/sdb1/eda/cadence/2014-15/RHELx86/MMSIM_13.11.252/tools.lnx86/spectre/etc/ahdl/constants.vams

Warning from spectre in `Varactors':`I0.I45', in `VCO_quadrature':`I0', during hierarchy flattening.
    WARNING (SFE-30): "input.scs" 23: I0.I45.P0: `nrd' is not a valid parameter for an instance of `sg13_lv_pmos'.  Ignored.
    WARNING (SFE-30): "input.scs" 23: I0.I45.P0: `nrs' is not a valid parameter for an instance of `sg13_lv_pmos'.  Ignored.
    WARNING (SFE-30): "input.scs" 25: I0.I45.P1: `nrd' is not a valid parameter for an instance of `sg13_lv_pmos'.  Ignored.
    WARNING (SFE-30): "input.scs" 25: I0.I45.P1: `nrs' is not a valid parameter for an instance of `sg13_lv_pmos'.  Ignored.
Warning from spectre in `Varactors':`I0.I46', in `VCO_quadrature':`I0', during hierarchy flattening.
    WARNING (SFE-30): "input.scs" 23: I0.I46.P0: `nrd' is not a valid parameter for an instance of `sg13_lv_pmos'.  Ignored.
        Further occurrences of this warning will be suppressed.

Time for Elaboration: CPU = 38.993 ms, elapsed = 79.1819 ms.
Time accumulated: CPU = 205.967 ms, elapsed = 1.87567 s.
Peak resident memory used = 42.8 Mbytes.


Notice from spectre during hierarchy flattening.
    Nport compression is disabled on instance NPORT0 because its port number 2 is smaller than 10.
    Nport compression is disabled on instance NPORT1 because its port number 2 is smaller than 10.
    Nport compression is disabled on instance NPORT2 because its port number 2 is smaller than 10.
    Nport compression is disabled on instance NPORT3 because its port number 2 is smaller than 10.

Time for EDB Visiting: CPU = 1 ms, elapsed = 2.54393 ms.
Time accumulated: CPU = 207.967 ms, elapsed = 1.87858 s.
Peak resident memory used = 43.5 Mbytes.

Reading link:  /home
Reading file:  /mnt/sdb1/home/et5/cpb3902/hfss_proj/Inductor_125pH.hfssresults/Inductor_125pH_HFSSDesign1_0-100GHz.s2p

Notice from spectre during initial setup.
    S parameters are passive in file `/home/et5/cpb3902/hfss_proj/Inductor_125pH.hfssresults/Inductor_125pH_HFSSDesign1_0-100GHz.s2p'.
    S parameters are passive in file `/home/et5/cpb3902/hfss_proj/Inductor_125pH.hfssresults/Inductor_125pH_HFSSDesign1_0-100GHz.s2p'.
    S parameters are passive in file `/home/et5/cpb3902/hfss_proj/Inductor_125pH.hfssresults/Inductor_125pH_HFSSDesign1_0-100GHz.s2p'.
    S parameters are passive in file `/home/et5/cpb3902/hfss_proj/Inductor_125pH.hfssresults/Inductor_125pH_HFSSDesign1_0-100GHz.s2p'.
Notice from spectre during topology check.
    Only one connection to the following 2 nodes:
        Vout2
        Vout
    No DC path from node `Vout2' to ground, Gmin installed to provide path.
    No DC path from node `Vout' to ground, Gmin installed to provide path.


Global user options:
             reltol = 0.001
            vabstol = 1e-06
            iabstol = 1e-12
               temp = 27
               tnom = 27
             scalem = 1
              scale = 1
               gmin = 1e-12
             rforce = 1
           maxnotes = 5
           maxwarns = 5
             digits = 5
               cols = 80
             pivrel = 0.001
           sensfile = ../psf/sens.output
     checklimitdest = psf
               save = allpub
           currents = all
     subcktprobelvl = 5
       saveahdlvars = all
               tnom = 27
             scalem = 1
              scale = 1

Circuit inventory:
              nodes 20
             iprobe 93    
          capacitor 5     
            isource 3     
              nport 4     
             psp103 4     
           resistor 6     
               vbic 13    
            vsource 3     

Analysis and control statement inventory:
                 dc 1     
               info 7     
                pss 1     

Output statements:
             .probe 0     
           .measure 0     
               save 0     


Notice from spectre.
    3 warnings suppressed.

Time for parsing: CPU = 87.987 ms, elapsed = 1.03414 s.
Time accumulated: CPU = 295.954 ms, elapsed = 2.913 s.
Peak resident memory used = 48.4 Mbytes.

~~~~~~~~~~~~~~~~~~~~~~
Pre-Simulation Summary
~~~~~~~~~~~~~~~~~~~~~~
~~~~~~~~~~~~~~~~~~~~~~
Entering remote command mode using MPSC service (spectre, ipi, v0.0, spectre6_25498_41, ).

Warning from spectre.
    WARNING (SPECTRE-16707): Only tran supports psfxl format, result of other analyses will be in psfbin format.


******************
DC Analysis `dcOp'
******************
Important parameter values:
    reltol = 1e-03
    abstol(V) = 1 uV
    abstol(I) = 1 pA
    temp = 27 C
    tnom = 27 C
    tempeffects = all
    gmindc = 1 pS
Trying `homotopy = gmin'.

Notice from spectre during DC analysis `dcOp'.
    Bad pivoting is found during DC analysis. Option dc_pivot_check=yes is recommended for possible improvement of convergence.

Convergence achieved in 58 iterations.
Total time required for dc analysis `dcOp': CPU = 58.991 ms, elapsed = 59.772 ms.
Time accumulated: CPU = 358.944 ms, elapsed = 3.09929 s.
Peak resident memory used = 50.3 Mbytes.

dcOpInfo: writing operating point information to rawfile.

*************************************************************
Periodic Steady-State Analysis `pss': estimated fund = 50 GHz
*************************************************************
Trying `homotopy = gmin'.

Notice from spectre during DC analysis, during periodic steady state analysis `pss'.
    Bad pivoting is found during DC analysis. Option dc_pivot_check=yes is recommended for possible improvement of convergence.

DC simulation time: CPU = 53.992 ms, elapsed = 56.1559 ms.

Using linear IC
Reading file:  /mnt/sdb1/home/et5/cpb3902/.cadence/mmsim/183ec643412f14a21e27d88abf8419df.bin

Notice from spectre during periodic steady state analysis `pss'.
    Nport NPORT3: Reuse impulse responses from the file `183ec643412f14a21e27d88abf8419df.bin' in the directory of `/home/et5/cpb3902/.cadence/mmsim/'.
    Nport NPORT2: Reuse impulse responses from previous calculation.
    Nport NPORT1: Reuse impulse responses from previous calculation.
    Nport NPORT0: Reuse impulse responses from previous calculation.
    Nport NPORT3: Reuse impulse responses from the file `183ec643412f14a21e27d88abf8419df.bin' in the directory of `/home/et5/cpb3902/.cadence/mmsim/'.
    Nport NPORT2: Reuse impulse responses from previous calculation.
    Nport NPORT1: Reuse impulse responses from previous calculation.
        Further occurrences of this notice will be suppressed.
    Nport NPORT3: Reuse impulse responses from the file `183ec643412f14a21e27d88abf8419df.bin' in the directory of `/home/et5/cpb3902/.cadence/mmsim/'.
    Nport NPORT3: Reuse impulse responses from the file `183ec643412f14a21e27d88abf8419df.bin' in the directory of `/home/et5/cpb3902/.cadence/mmsim/'.
    Nport NPORT3: Reuse impulse responses from the file `183ec643412f14a21e27d88abf8419df.bin' in the directory of `/home/et5/cpb3902/.cadence/mmsim/'.
        Further occurrences of this notice will be suppressed.

Linear IC: estimated frequency is 3.47291e+10 Hz

=================================
`pss': time = (0 s -> 745.971 ps)
=================================

Output and IC/nodeset summary:
                 save   189     (current)
                 save   20      (voltage)

Important parameter values in tstab integration:
    start = 0 s
    outputstart = 0 s
    stop = 745.971 ps
    period = 28.7943 ps
    maxperiods = 50
    step = 622 fs
    maxstep = 800 fs
    ic = all
    useprevic = no
    skipdc = no
    reltol = 1e-03
    abstol(V) = 1 uV
    abstol(I) = 1 pA
    temp = 27 C
    tnom = 27 C
    tempeffects = all
    method = traponly
    lteratio = 3.5
    relref = sigglobal
    cmin = 0 F
    gmin = 1 pS


Warning from spectre at time = 4.16667 fs during periodic steady state analysis `pss'.
    WARNING (CMI-2833): I0.Q29: The collector-emitter voltage exceeded breakdown voltage of 1.65 V.
    WARNING (CMI-2139): I0.Q34: The base-emitter junction current exceeds `imelt'.  The results computed by Virtuoso(R) Spectre are now incorrect because the junction current model has been linearized.
    WARNING (CMI-2300): I0.Q34: Device operated in the saturation region.
    WARNING (CMI-2300): I0.Q26: Device operated in the saturation region.
    WARNING (CMI-2139): I0.Q35: The base-substrate junction current exceeds `imelt'.  The results computed by Virtuoso(R) Spectre are now incorrect because the junction current model has been linearized.
Notice from spectre at time = 4.16667 fs during periodic steady state analysis `pss'.
    I0.Q35: The base-substrate junction leaves the linearized region.
Warning from spectre at time = 4.16667 fs during periodic steady state analysis `pss'.
    WARNING (CMI-2139): I0.Q35: The base-emitter junction current exceeds `imelt'.  The results computed by Virtuoso(R) Spectre are now incorrect because the junction current model has been linearized.
    WARNING (CMI-2139): I0.Q35: The base-collector junction current exceeds `imelt'.  The results computed by Virtuoso(R) Spectre are now incorrect because the junction current model has been linearized.
    WARNING (CMI-2300): I0.Q35: Device operated in the saturation region.
    WARNING (CMI-2363): I0.Q35: `ic' exceeds `imax'.
    WARNING (CMI-2363): I0.Q35: `ibe' exceeds `imax'.
Warning from spectre at time = 5.90175 fs during periodic steady state analysis `pss'.
    WARNING (CMI-2139): I0.Q35: The base-substrate junction current exceeds `imelt'.  The results computed by Virtuoso(R) Spectre are now incorrect because the junction current model has been linearized.
        Further occurrences of this warning will be suppressed.
Notice from spectre at time = 5.90175 fs during periodic steady state analysis `pss'.
    I0.Q35: The base-substrate junction leaves the linearized region.
Notice from spectre at time = 9.37191 fs during periodic steady state analysis `pss'.
    I0.Q35: The base-substrate junction leaves the linearized region.
Notice from spectre at time = 16.3122 fs during periodic steady state analysis `pss'.
    I0.Q35: The base-substrate junction leaves the linearized region.
Notice from spectre at time = 21.3806 fs during periodic steady state analysis `pss'.
    I0.Q35: The base-substrate junction leaves the linearized region.
        Further occurrences of this notice will be suppressed.
Notice from spectre at time = 124.285 fs during periodic steady state analysis `pss'.
    I0.Q29: The collector-emitter junction leaves breakdown region.
Warning from spectre at time = 124.285 fs during periodic steady state analysis `pss'.
    WARNING (CMI-2300): I0.Q24: Device operated in the saturation region.
Warning from spectre at time = 1.53125 ps during periodic steady state analysis `pss'.
    WARNING (CMI-2475): I0.Q35:  DEVICE IS MELTING! The device temperature (`temp') is greater than  the maximum temperature `dtmax'. The results computed by Virtuoso(R) Spectre are now incorrect.
Notice from spectre at time = 10.504 ps during periodic steady state analysis `pss'.
    I0.Q24: Device leaves the saturation region.
    I0.Q26: Device leaves the saturation region.
Warning from spectre at time = 12.13 ps during periodic steady state analysis `pss'.
    WARNING (CMI-2300): I0.Q32: Device operated in the saturation region.
        Further occurrences of this warning will be suppressed.
Notice from spectre at time = 19.3241 ps during periodic steady state analysis `pss'.
    I0.Q46: Device leaves the saturation region.

    pss: time = 19.32 ps    (2.59 %), step = 800 fs       (107 m%)

Notice from spectre at time = 20.9801 ps during periodic steady state analysis `pss'.
    I0.Q32: Device leaves the saturation region.
Notice from spectre at time = 28.803 ps during periodic steady state analysis `pss'.
    I0.Q30: Device leaves the saturation region.
        Further occurrences of this notice will be suppressed.
Notice from spectre at time = 33.1376 ps during periodic steady state analysis `pss'.
    Found trapezoidal ringing on node I0.I45.P0:2.
Notice from spectre at time = 56.4924 ps during periodic steady state analysis `pss'.
    I0.Q35: `ic' returns to normal.
    I0.Q35: `ibe' returns to normal.

    pss: time = 56.49 ps    (7.57 %), step = 749.6 fs     (100 m%)

Notice from spectre at time = 88.3281 ps during periodic steady state analysis `pss'.
    Found trapezoidal ringing on node I0.I45.P0:2.

    pss: time = 93.71 ps    (12.6 %), step = 780.2 fs     (105 m%)

Notice from spectre at time = 109.791 ps during periodic steady state analysis `pss'.
    Found trapezoidal ringing on node I0.I45.P0:2.
Notice from spectre at time = 110.534 ps during periodic steady state analysis `pss'.
    Found trapezoidal ringing on node I0.I45.P0:2.
Notice from spectre at time = 111.315 ps during periodic steady state analysis `pss'.
    Found trapezoidal ringing on node I0.I45.P0:2.
        Further occurrences of this notice will be suppressed.

    pss: time = 130.8 ps    (17.5 %), step = 484.2 fs    (64.9 m%)
    pss: time = 167.9 ps    (22.5 %), step = 727.9 fs    (97.6 m%)
    pss: time = 205.7 ps    (27.6 %), step = 800 fs       (107 m%)
    pss: time = 242.8 ps    (32.5 %), step = 758.9 fs     (102 m%)
    pss: time = 280.2 ps    (37.6 %), step = 800 fs       (107 m%)
    pss: time = 317.7 ps    (42.6 %), step = 800 fs       (107 m%)
    pss: time = 354.4 ps    (47.5 %), step = 800 fs       (107 m%)
    pss: time = 392 ps      (52.5 %), step = 769.1 fs     (103 m%)
    pss: time = 428.9 ps    (57.5 %), step = 800 fs       (107 m%)
    pss: time = 466.7 ps    (62.6 %), step = 772.7 fs     (104 m%)
    pss: time = 503.8 ps    (67.5 %), step = 785.6 fs     (105 m%)
    pss: time = 541.1 ps    (72.5 %), step = 800 fs       (107 m%)
    pss: time = 578.2 ps    (77.5 %), step = 791.3 fs     (106 m%)
    pss: time = 615.9 ps    (82.6 %), step = 800 fs       (107 m%)
    pss: time = 652.8 ps    (87.5 %), step = 115.2 fs    (15.4 m%)
    pss: time = 690.1 ps    (92.5 %), step = 115.2 fs    (15.4 m%)
    pss: time = 727.4 ps    (97.5 %), step = 115.2 fs    (15.4 m%)
The Estimated oscillating frequency from Tstab Tran is = 49.1142 GHz . 

Tstab: runs at least 100 timesteps per cycle,     MaxStep=2.03607e-13

========================================
`pss': time = (745.971 ps -> 766.332 ps)
========================================

Output and IC/nodeset summary:
                 save   189     (current)
                 save   20      (voltage)


Warning from spectre at time = 746.072 ps during periodic steady state analysis `pss'.
    WARNING (CMI-2475): I0.Q35:  DEVICE IS MELTING! The device temperature (`temp') is greater than  the maximum temperature `dtmax'. The results computed by Virtuoso(R) Spectre are now incorrect.

    pss: time = 746.5 ps    (2.53 %), step = 203.6 fs        (1 %)
    pss: time = 747.5 ps    (7.53 %), step = 203.6 fs        (1 %)
    pss: time = 748.5 ps    (12.5 %), step = 203.6 fs        (1 %)
    pss: time = 749.5 ps    (17.5 %), step = 203.6 fs        (1 %)
    pss: time = 750.6 ps    (22.5 %), step = 203.6 fs        (1 %)
    pss: time = 751.6 ps    (27.5 %), step = 203.6 fs        (1 %)
    pss: time = 752.6 ps    (32.5 %), step = 203.6 fs        (1 %)
    pss: time = 753.6 ps    (37.5 %), step = 203.6 fs        (1 %)
    pss: time = 754.6 ps    (42.5 %), step = 203.6 fs        (1 %)
    pss: time = 755.6 ps    (47.5 %), step = 203.6 fs        (1 %)
    pss: time = 756.7 ps    (52.5 %), step = 203.6 fs        (1 %)
    pss: time = 757.7 ps    (57.5 %), step = 203.6 fs        (1 %)
    pss: time = 758.7 ps    (62.5 %), step = 203.6 fs        (1 %)
    pss: time = 759.7 ps    (67.5 %), step = 203.6 fs        (1 %)
    pss: time = 760.7 ps    (72.5 %), step = 203.6 fs        (1 %)
    pss: time = 761.8 ps    (77.5 %), step = 203.6 fs        (1 %)
    pss: time = 762.8 ps    (82.5 %), step = 203.6 fs        (1 %)
    pss: time = 763.8 ps    (87.5 %), step = 203.6 fs        (1 %)
    pss: time = 764.8 ps    (92.5 %), step = 203.6 fs        (1 %)
    pss: time = 765.8 ps    (97.5 %), step = 203.6 fs        (1 %)
Pinning node: 105, harm: 1, name: I0.Q32:ci, value: (-0.188233, -0.285555)

==============================
     Harmonic balance
==============================
Important HB parameters:
    RelTol=1.00e-04
    abstol(I)=1.00e-12 A
    abstol(V)=1.00e-06 V
    residualtol=1.00e+00
    lteratio=3.50e+00
    steadyratio=1.00e+00
    maxperiods=100


Warning from spectre during periodic steady state analysis `pss'.
    WARNING (CMI-2475): I0.Q35:  DEVICE IS MELTING! The device temperature (`temp') is greater than  the maximum temperature `dtmax'. The results computed by Virtuoso(R) Spectre are now incorrect.
    WARNING (CMI-2133): Risky extrapolation of data given in S-parameter file `/home/et5/cpb3902/hfss_proj/Inductor_125pH.hfssresults/Inductor_125pH_HFSSDesign1_0-100GHz.s2p'.
    WARNING (CMI-2134): Risky extrapolation to DC of data given in S-parameter file `/home/et5/cpb3902/hfss_proj/Inductor_125pH.hfssresults/Inductor_125pH_HFSSDesign1_0-100GHz.s2p'.
    WARNING (CMI-2134): Risky extrapolation to DC of data given in S-parameter file `/home/et5/cpb3902/hfss_proj/Inductor_125pH.hfssresults/Inductor_125pH_HFSSDesign1_0-100GHz.s2p'.
    WARNING (CMI-2134): Risky extrapolation to DC of data given in S-parameter file `/home/et5/cpb3902/hfss_proj/Inductor_125pH.hfssresults/Inductor_125pH_HFSSDesign1_0-100GHz.s2p'.
    WARNING (CMI-2475): I0.Q35:  DEVICE IS MELTING! The device temperature (`temp') is greater than  the maximum temperature `dtmax'. The results computed by Virtuoso(R) Spectre are now incorrect.


********** initial residual **********
Resd Norm=9.08e+02  at node I0.Q35:dt  harm=(10)

********** iter = 1 **********
Delta Norm=1.42e+03  at node I0.Q35:dt  harm=(0)
Resd Norm=8.48e+03  at node I0.Q35:ph1  harm=(0)
Frequency= 4.9114e+10 Hz, delta f= 2.83e+05

********** iter = 2 **********

Warning from spectre during periodic steady state analysis `pss'.
    WARNING (CMI-2363): I0.Q35: `ibe' exceeds `imax'.
    WARNING (CMI-2363): I0.Q29: `ibe' exceeds `imax'.
Notice from spectre during periodic steady state analysis `pss'.
    I0.Q35: `ibe' returns to normal.
Warning from spectre during periodic steady state analysis `pss'.
    WARNING (CMI-2833): I0.Q32: The collector-emitter voltage exceeded breakdown voltage of 1.65 V.
    WARNING (CMI-2363): I0.Q29: `ic' exceeds `imax'.
        Further occurrences of this warning will be suppressed.
Notice from spectre during periodic steady state analysis `pss'.
    I0.Q32: The collector-emitter junction leaves breakdown region.
    I0.Q29: `ic' returns to normal.
    I0.Q29: `ibe' returns to normal.
        Further occurrences of this notice will be suppressed.

Damping Factor is 0.2 
Delta Norm=4.50e+02  at node I0.I45:3  harm=(1)
Resd Norm=3.38e+03  at node I0.Q35:ph1  harm=(0)
Frequency= 4.9109e+10 Hz, delta f= -5.14e+06

********** iter = 3 **********
Delta Norm=7.67e+02  at node I0:4  harm=(2)
Resd Norm=1.44e+03  at node I0.Q35:ph1  harm=(0)
Frequency= 5.1270e+10 Hz, delta f= 2.16e+09

********** iter = 4 **********
Delta Norm=4.51e+02  at node I0.Q45:4  harm=(10)
Resd Norm=7.79e+02  at node I0.Q32:bi  harm=(8)
Frequency= 5.1270e+10 Hz, delta f= 8.41e+04

********** iter = 5 **********
Delta Norm=7.75e+02  at node I0.Q45:2  harm=(4)
Resd Norm=1.10e+03  at node I0.Q35:bi  harm=(10)
Frequency= 5.1271e+10 Hz, delta f= 7.07e+05

********** iter = 6 **********
Delta Norm=3.12e+02  at node I0.Q45:4  harm=(8)
Resd Norm=5.65e+02  at node I0.Q29:bi  harm=(10)
Frequency= 5.1271e+10 Hz, delta f= -2.53e+04

********** iter = 7 **********
Delta Norm=1.75e+02  at node I0.Q45:2  harm=(4)
Resd Norm=1.90e+02  at node I0.Q29:bi  harm=(8)
Frequency= 5.1272e+10 Hz, delta f= 9.10e+05

********** iter = 8 **********
Delta Norm=1.45e+02  at node I0:4  harm=(2)
Resd Norm=8.57e+01  at node I0.Q29:bi  harm=(6)
Frequency= 5.1341e+10 Hz, delta f= 6.89e+07

********** iter = 9 **********
Delta Norm=6.56e+01  at node I0:4  harm=(2)
Resd Norm=1.42e+01  at node I0.Q24:bi  harm=(7)
Frequency= 5.1366e+10 Hz, delta f= 2.53e+07

********** iter = 10 **********
Delta Norm=3.55e+01  at node I0:4  harm=(2)
Resd Norm=1.86e+00  at node I0.Q35:bi  harm=(7)
Frequency= 5.1374e+10 Hz, delta f= 8.26e+06

********** iter = 11 **********
Delta Norm=2.48e+00  at node I0:4  harm=(1)
Resd Norm=3.57e-01  at node I0.Q32:ph1  harm=(2)
Frequency= 5.1375e+10 Hz, delta f= 1.06e+06

********** iter = 12 **********
Delta Norm=1.06e+00  at node I0:4  harm=(2)
Resd Norm=5.43e-02  at node I0.Q35:ph1  harm=(2)
Frequency= 5.1376e+10 Hz, delta f= 1.23e+05

********** iter = 13 **********
Delta Norm=1.30e-01  at node I0:4  harm=(1)
Resd Norm=6.87e-03  at node I0.Q46:ph1  harm=(1)
Frequency= 5.1376e+10 Hz, delta f= 2.51e+04


*************************************************
Fundamental frequency is 51.3755 GHz.
*************************************************

CPU time=1 s

Total time required for pss analysis `pss': CPU = 3.73643 s, elapsed = 4.49527 s.
Time accumulated: CPU = 4.11337 s, elapsed = 7.61217 s.
Peak resident memory used = 57.7 Mbytes.


Notice from spectre.
    733 notices suppressed.
    463 warnings suppressed.

modelParameter: writing model parameter values to rawfile.
element: writing instance parameter values to rawfile.
outputParameter: writing output parameter values to rawfile.
designParamVals: writing netlist parameters to rawfile.
primitives: writing primitives to rawfile.
subckts: writing subcircuits to rawfile.

Spectre netlist
Code:
// Generated for: spectre
// Generated on: Oct 31 18:53:22 2015
// Design library name: ZellCharm_opt
// Design cell name: VCO_quadrature_test2
// Design view name: schematic
simulator lang=spectre
global 0 sub!
parameters Itail=3m Vcont=-1
include "$IHP_TECH/tech/SG13_HBT/spectre/SG13_cornerBIP.scs" section=typ
include "$IHP_TECH/tech/SG13_MOS/library/spectre/cornerMOSlv_psp.scs" section=tt
include "$IHP_TECH/tech/SG13_MOS/library/spectre/cornerMOShv_psp.scs" section=tt
include "$IHP_TECH/tech/SG13_PASSIVES/spectre/SG13_cornerRES.scs" section=typ
include "$IHP_TECH/tech/SG13_PASSIVES/spectre/SG13_cornerCAP.scs" section=typ
include "$IHP_TECH/tech/SG13_PASSIVES/spectre/SG13_dschottky_nw.lib"
include "$IHP_TECH/tech/SG13_PASSIVES/spectre/SG13_dschottky_bl.lib"
include "$IHP_TECH/tech/SG13_PASSIVES/spectre/SG13_diodes.lib"
include "$IHP_TECH/tech/SG13_ESD/spectre/SG13_esd.lib"

// Library name: ZellCharm_opt
// Cell name: Varactors
// View name: schematic
subckt Varactors Varactor\+ Varactor\- Vcont
    P0 (Vcont Varactor\- Vcont net08) sg13_lv_pmos w=3.5u l=3.5u ng=1 ad=0 \
        as=0 pd=0 ps=0 m=1 nrd=0 nrs=0
    P1 (Vcont Varactor\+ Vcont net07) sg13_lv_pmos w=3.5u l=3.5u ng=1 ad=0 \
        as=0 pd=0 ps=0 m=1 nrd=0 nrs=0
    I1 (net07 Vcont) ntap1 R=35.622
    I13 (net08 Vcont) ntap1 R=35.622
ends Varactors
// End of subcircuit definition.

// Library name: ZellCharm_opt
// Cell name: VCO_quadrature
// View name: schematic
subckt VCO_quadrature \90L \90R Vcm Vcont ZeroL ZeroR vss
    I45 (ZeroL ZeroR Vcont) Varactors
    I46 (\90L \90R Vcont) Varactors
    R13 (cm Vcm sub!) res_rppd R=1K l=55.72u w=14u b=0 ps=180n trise=0.0 \
        m=1
    Q35 (\90L \90R net064 sub!) npn13pl le=1.00u we=0.12u Nx=2 Ny=1 m=1
    Q28 (net064 cm vss sub!) npn13pl le=1.00u we=0.12u Nx=4 Ny=1 m=1
    Q26 (\90L ZeroL net019 sub!) npn13pl le=1.00u we=0.12u Nx=2 Ny=1 m=1
    Q25 (net046 cm vss sub!) npn13pl le=1.00u we=0.12u Nx=4 Ny=1 m=1
    Q24 (ZeroR ZeroL net046 sub!) npn13pl le=1.00u we=0.12u Nx=2 Ny=1 m=1
    Q27 (net019 cm vss sub!) npn13pl le=1.00u we=0.12u Nx=4 Ny=1 m=1
    Q34 (ZeroL \90R net037 sub!) npn13pl le=1.00u we=0.12u Nx=2 Ny=1 m=1
    Q45 (cm cm vss sub!) npn13pl le=1.0u we=0.12u Nx=4 Ny=1 m=1
    Q46 (\90R ZeroR net019 sub!) npn13pl le=1.00u we=0.12u Nx=2 Ny=1 m=1
    Q30 (ZeroR \90L net037 sub!) npn13pl le=1.00u we=0.12u Nx=2 Ny=1 m=1
    Q32 (ZeroL ZeroR net046 sub!) npn13pl le=1.00u we=0.12u Nx=2 Ny=1 m=1
    Q29 (\90R \90L net064 sub!) npn13pl le=1.00u we=0.12u Nx=2 Ny=1 m=1
    Q31 (net037 cm vss sub!) npn13pl le=1.00u we=0.12u Nx=4 Ny=1 m=1
    I107 (vss sub!) ptap1 R=3.062
ends VCO_quadrature
// End of subcircuit definition.

// Library name: ZellCharm_opt
// Cell name: VCO_quadrature_test2
// View name: schematic
NPORT0 (vdd 0 ZeroL 0) nport interp=linear thermalnoise=yes \
        file="/home/et5/cpb3902/hfss_proj/Inductor_125pH.hfssresults/Inductor_125pH_HFSSDesign1_0-100GHz.s2p"
NPORT1 (ZeroR 0 vdd 0) nport interp=linear thermalnoise=yes \
        file="/home/et5/cpb3902/hfss_proj/Inductor_125pH.hfssresults/Inductor_125pH_HFSSDesign1_0-100GHz.s2p"
NPORT2 (\90R 0 vdd 0) nport interp=linear thermalnoise=yes \
        file="/home/et5/cpb3902/hfss_proj/Inductor_125pH.hfssresults/Inductor_125pH_HFSSDesign1_0-100GHz.s2p"
NPORT3 (vdd 0 \90L 0) nport interp=linear thermalnoise=yes \
        file="/home/et5/cpb3902/hfss_proj/Inductor_125pH.hfssresults/Inductor_125pH_HFSSDesign1_0-100GHz.s2p"
V4 (vdd 0) vsource dc=-1.65 type=dc
V5 (net029 0) vsource dc=-3.3 type=dc
V0 (net34 0) vsource dc=Vcont type=dc
I6 (0 net48) isource dc=Itail type=dc
I0 (\90L \90R net48 net34 ZeroL ZeroR net029) VCO_quadrature
C1 (ZeroL Vout2) capacitor c=100n
C0 (ZeroR Vout) capacitor c=100n
I20 (\90R \90L) isource type=pwl wave=[ 0 0 500f 1m 1p 0 1.5p -1m 2p 0 ]
I19 (ZeroR ZeroL) isource type=pwl wave=[ 0 0 500f 1m 1p 0 1.5p -1m 2p 0 ]
simulatorOptions options reltol=1e-3 vabstol=1e-6 iabstol=1e-12 temp=27 \
    tnom=27 scalem=1.0 scale=1.0 gmin=1e-12 rforce=1 maxnotes=5 maxwarns=5 \
    digits=5 cols=80 pivrel=1e-3 sensfile="../psf/sens.output" \
    checklimitdest=psf 
dcOp dc write="spectre.dc" maxiters=150 maxsteps=10000 annotate=status
dcOpInfo info what=oppoint where=rawfile
pss  (  Vout  Vout2  )  pss  flexbalance=yes  fund=50G  harms=10
+    errpreset=moderate  tstab=600p  oscic=lin  oscmethod=onetier
+    annotate=status
modelParameter info what=models where=rawfile
element info what=inst where=rawfile
outputParameter info what=output where=rawfile
designParamVals info what=parameters where=rawfile
primitives info what=primitives where=rawfile
subckts info what=subckts  where=rawfile
saveOptions options save=allpub currents=all subcktprobelvl=5 \
    saveahdlvars=all

Ads log file
Code:
Warning detected by hpeesofsim during netlist parsing.
    Skipping instance `sg13_lv_nmos.LowL' of type `paramtest', 
    In file `U:/design kits/SG13S/sg13s_ads2014_rev0.5.10/circuit/models/tech/SG13_MOS/library/spectre\sg13_moslv_psp_mod.lib' at, or just before, line 88.
Warning detected by hpeesofsim during netlist parsing.
    Skipping instance `sg13_lv_nmos.HigL' of type `paramtest', 
    In file `U:/design kits/SG13S/sg13s_ads2014_rev0.5.10/circuit/models/tech/SG13_MOS/library/spectre\sg13_moslv_psp_mod.lib' at, or just before, line 89.
Warning detected by hpeesofsim during netlist parsing.
    Skipping instance `sg13_lv_nmos.<ConditionalCircuit>.LowW' of type `paramtest', 
    In file `U:/design kits/SG13S/sg13s_ads2014_rev0.5.10/circuit/models/tech/SG13_MOS/library/spectre\sg13_moslv_psp_mod.lib' at, or just before, line 91.
Warning detected by hpeesofsim during netlist parsing.
    Skipping instance `sg13_lv_nmos.<ConditionalCircuit>.HigW' of type `paramtest', 
    In file `U:/design kits/SG13S/sg13s_ads2014_rev0.5.10/circuit/models/tech/SG13_MOS/library/spectre\sg13_moslv_psp_mod.lib' at, or just before, line 92.
Warning detected by hpeesofsim during netlist parsing.
    Skipping instance `sg13_lv_nmos.<ConditionalCircuit>.LowW' of type `paramtest', 
    In file `U:/design kits/SG13S/sg13s_ads2014_rev0.5.10/circuit/models/tech/SG13_MOS/library/spectre\sg13_moslv_psp_mod.lib' at, or just before, line 94.
Warning detected by hpeesofsim during netlist parsing.
    Skipping instance `sg13_lv_nmos.<ConditionalCircuit>.HigW' of type `paramtest', 
    In file `U:/design kits/SG13S/sg13s_ads2014_rev0.5.10/circuit/models/tech/SG13_MOS/library/spectre\sg13_moslv_psp_mod.lib' at, or just before, line 96.
Warning detected by hpeesofsim during netlist parsing.
    Skipping instance `sg13_lv_pmos.LowL' of type `paramtest', 
    In file `U:/design kits/SG13S/sg13s_ads2014_rev0.5.10/circuit/models/tech/SG13_MOS/library/spectre\sg13_moslv_psp_mod.lib' at, or just before, line 132.
Warning detected by hpeesofsim during netlist parsing.
    Skipping instance `sg13_lv_pmos.HigL' of type `paramtest', 
    In file `U:/design kits/SG13S/sg13s_ads2014_rev0.5.10/circuit/models/tech/SG13_MOS/library/spectre\sg13_moslv_psp_mod.lib' at, or just before, line 133.
Warning detected by hpeesofsim during netlist parsing.
    Skipping instance `sg13_lv_pmos.<ConditionalCircuit>.LowW' of type `paramtest', 
    In file `U:/design kits/SG13S/sg13s_ads2014_rev0.5.10/circuit/models/tech/SG13_MOS/library/spectre\sg13_moslv_psp_mod.lib' at, or just before, line 135.
Warning detected by hpeesofsim during netlist parsing.
    Skipping instance `sg13_lv_pmos.<ConditionalCircuit>.HigW' of type `paramtest', 
    In file `U:/design kits/SG13S/sg13s_ads2014_rev0.5.10/circuit/models/tech/SG13_MOS/library/spectre\sg13_moslv_psp_mod.lib' at, or just before, line 136.

NXP SiMKit (ver. 4.3 (4.3))

Model psp103 Copyright NXP Semiconductors 2006.Warning detected by hpeesofsim in topology check during circuit set up.
    Number of nodes with only one device attached (topology corrected): 4
    Number of nodes with no DC path to ground (topology corrected): 4


HB HB1[1] <cellcharm_SG13_lib:test_vco:schematic>
    Number of tones:   1.
    Number of frequencies:   11.
    Number of time samples:  32.
    Number of HB equations (problem size): 3487.
    Auto mode: on.
    Convergence mode: Auto.
    Linear solver: direct.
Device Pin Current not saved for multiple pins of devices
 connected together in circuit. Use a current probe instead.


    HB1_OP.V=1 mV           0.00% 1/2
------------------------------------------------------------------------------
Newton solver:					
Iter	KCL residual	Damp %	Sol update
------------------------------------------------------------------------------
0.0*	60.6142 nA  	100.0             
1.0 	1.27559 pA  	100.0	193.766 pV   
    Frequency = 33.96660023 GHz
    Injected current =  6.278e-07 A

Fwd HB1_OP.V=512.264 mV     0.05% 2/2  Step=1
0.0*	67.9447 mA  	100.0             
    Frequency = 44.64629542 GHz
    rejected

Fwd HB1_OP.V=244.459 mV     0.02% 2/2  Step=0.4761904762
0.0*	5.8116 mA   	100.0             
1.0 	9.24291 mA  	100.0             
1.1*	7.41463 mA  	100.0             
2.0 	143.956 uA  	100.0             
2.1 	32.5029 uA  	100.0             
2.2 	7.73992 uA  	100.0             
2.3 	1.85165 uA  	100.0	109.88 uV    
2.4 	440.173 nA  	100.0	24.9044 uV   
    Frequency = 39.83164372 GHz
    Injected current = -8.424e-05 A
    Arclength Newton-Raphson converged at 0.219308 in 2 iters

    Calculating solution at 0.002614878878
0.0 	137.849 uA  	100.0             
        Samanskii-Newton iteration failed
0.1*	691.428 uA  	100.0             
1.0*	636.544 nA  	100.0             
2.0 	1.45228 nA  	100.0	3.1176 nV    
    Frequency = 33.96759609 GHz
    Injected current =  1.641e-06 A
    Newton-Raphson converged at 0.002614878878

    Calculating solution at 0.137027815
0.0 	1.39711 mA  	100.0             
        Samanskii-Newton iteration failed
0.1*	172.072 kA  	100.0             
1.0*	807.295 uA  	100.0             
2.0 	63.4937 uA  	100.0             
2.1 	6.62116 uA  	100.0             
2.2 	827.743 nA  	100.0	18.1777 uV   
2.3 	84.3378 nA  	100.0	1.73614 uV   
    Frequency = 37.05294976 GHz
    Injected current = -6.205e-06 A
    Newton-Raphson converged at 0.137027815

    Calculating solution at 0.06982134696
0.0 	1.16911 mA  	100.0             
0.1 	851.631 uA  	100.0             
0.2 	332.147 uA  	100.0             
0.3 	139.18 uA   	100.0             
0.4 	60.2753 uA  	100.0             
0.5 	28.9784 uA  	100.0             
0.6 	14.9392 uA  	100.0             
0.7 	9.12244 uA  	100.0             
0.8 	4.81211 uA  	100.0             
0.9 	3.35477 uA  	100.0             
0.10*	1.8964 uA   	100.0             
1.0 	117.258 pA  	100.0	8.63315 nV   
    Frequency = 34.81278195 GHz
    Injected current =  2.778e-05 A
    Newton-Raphson converged at 0.06982134696

    Calculating solution at 0.1301608494
0.0 	168.759 uA  	100.0             
0.1 	29.5928 uA  	100.0             
        Samanskii-Newton iteration failed
0.2*	65.0643 uA  	100.0             
1.0 	493.115 nA  	100.0	6.31216 uV   
1.1 	6.12565 nA  	100.0	26.0191 nV   
    Frequency = 36.79185811 GHz
    Injected current = -4.724e-07 A
    Newton-Raphson converged at 0.1301608494

    Calculating solution at 0.1295679842
0.0 	14.095 uA   	100.0             
0.1 	148.689 nA  	100.0             
0.2 	2.96315 nA  	100.0             
    Frequency = 36.76937164 GHz
    Injected current =  7.972e-09 A
    Newton-Raphson converged at 0.1295679842

    sourceLevel=0              0.00% 1/2
0.0*	54.2843 pA  	100.0             
    Frequency = 36.76937164 GHz

    sourceLevel=1            100.00% 2/2
0.0 	10.9638 A   	100.0             
        Samanskii-Newton iteration failed
0.1*	128.201e+30A	100.0             
1.0*	95.5734 pA  	100.0	1.27077 nV   

    Final injected current = -4.928e-15 A

    Final oscillation frequency = 36.76974535 GHz
Warning detected by hpeesofsim during HB analysis `HB1'.
    VBIC `I__20.npn13pl6' base-collector is forward biased.
Warning detected by hpeesofsim during HB analysis `HB1'.
    VBIC `I__20.npn13pl11' base-collector is forward biased.
Warning detected by hpeesofsim during HB analysis `HB1'.
    VBIC `I__20.npn13pl5' base-collector is forward biased.
Warning detected by hpeesofsim during TRAN analysis `Tran1'.
    COMPONENT `I__20.S2P2.CMP1':
       Highest frequency in data is 1e+11 Hz, which is smaller than the maximum source bandwidth 2e+12 Hz.

COMPONENT : I__20.S2P2.CMP1
    Characterizing to 100 GHz Warning detected by hpeesofsim during TRAN analysis `Tran1'.
    COMPONENT `I__20.S2P3.CMP1':
       Highest frequency in data is 1e+11 Hz, which is smaller than the maximum source bandwidth 2e+12 Hz.

COMPONENT : I__20.S2P3.CMP1
    Characterizing to 100 GHz Warning detected by hpeesofsim during TRAN analysis `Tran1'.
    COMPONENT `I__20.S2P1.CMP1':
       Highest frequency in data is 1e+11 Hz, which is smaller than the maximum source bandwidth 2e+12 Hz.

COMPONENT : I__20.S2P1.CMP1
    Characterizing to 100 GHz Warning detected by hpeesofsim during TRAN analysis `Tran1'.
    COMPONENT `I__20.S2P4.CMP1':
       Highest frequency in data is 1e+11 Hz, which is smaller than the maximum source bandwidth 2e+12 Hz.

COMPONENT : I__20.S2P4.CMP1
    Characterizing to 100 GHz 

TRAN Tran1[1] <cellcharm_SG13_lib:test_vco:schematic>   time=(0 s->500 ps)


Resource usage:
  Total stopwatch time     =     8.32 seconds.
N-port treatment is critical in your case, since it flow dc bias current.
Also I checked it with SRL model of inductor (inductance plus series resistor) and got the same results, in HB frequency around 36GHz, in tran around 50GHz.
 

If you observe Ib1, Ib2, Qb1 and Qb2, phase relations between them are same for HB(36GHz) and Tran(50GHz) ?

I think your quadrature oscillator has two oscillation modes, 36GHz and 50GHz.
HB analysis gives lower oscillation mode.
Tran analysis gives higher oscillation mode.
I think Tran analysis might be able to give lower oscillation mode by changing initial condition or kick condition.

**broken link removed**
 
Last edited:
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top