Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

pulse duplicator circuit

Status
Not open for further replies.

greenjuice

Member level 1
Joined
Aug 21, 2015
Messages
40
Helped
0
Reputation
0
Reaction score
0
Trophy points
6
Activity points
348
When I have one pulse signal,

is it possible to make pulse train like clock signal using some kinds of circuit?

Of course frequency and period of that pulse is maintained.

If you show your opininon, I really appreciate.
 

Can be done, but would you clarify your statement?
Of course frequency and period of that pulse is maintained.

A clock signal has a fixed high time and a fixed low time (together constitutes a clk period) which keeps repeating.
As per my knowledge this is the definition of a pulse - A rapid, transient change in the amplitude of a signal from a baseline value to a higher or lower value, followed by a rapid return to the baseline value. It mostly has a high time and we may not know in advance when the high time will occur.
I have taken for granted here that the pulse is non-repeating (because you have written "I have one pulse signal").

So can you please clarify what is meant by frequency and period of a pulse (your pulse)?
 
Last edited:

Yes. You're right.

It's my mistake.

Not frequency and period, width of that pulse is maintained.

It means.. If width of one pulse signal is 1ns,

I want to make pulse train which has 1ns width.(1ns high and 1ns low repeatedly)

Do you understand now? I'm sorry not to give adequate explantation for you.
 

if a periodic pulse has a known width and repetition rate and you wish to synchronize a clock to this pulse rate, a PLL chip such as CD4046 using Type I XOR mixer if VCO is close to required frequency and filter bandwidth allows capture range required.

If working to 1ns pulses, then special microwave or CML parts are needed.

Anything above 300MHz can be considered UHF to microwave such as 2ns cycle or 500MHz.
 

Um. I don't want to make periodic signal synchronize clock signal.

I want to make a piece of pulse signal be pulse chain.
 

Sounds like to me you need to have an absurdly fast clock.

You count the clock cycles the pulse is high.

You store this count number & generate an internal pulsing signal with that frequency.
 

I want to make pulse train which has 1ns width.(1ns high and 1ns low repeatedly)
As others have pointed out, a very high speed clock is needed!
The above person has already given you a very brief idea. Detect when you have the rising-edge of the pulse, immediately start incrementing a counter using a very high speed sys clk (I am sure you can calculate this yourself), then stop counting when you detect the falling-edge of the pulse. Store the count value and then generate a signal which will toggle states at this count value. You'll have your continuous signal with T=2ns (replicating your 1ns high pulse).

Do you want to do in in ASIC or FPGA or on a board with connected components?
Getting a system clock >500MHz can be a concern.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top