Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

saif_map -write_map ... (generate empty file)

Status
Not open for further replies.

shedo

Junior Member level 1
Joined
Jan 31, 2013
Messages
17
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,391
Hi all,
I'm trying to generate a "Name Mapping File" for primetime px, starting from a sldb description of "DW_ram_rw_s_dff.v". For normal design with verilog source I don't have any problems but if I use a sldb description (dw_foundation.sldb), design compiler generate an empty "Name Mapping File".
For the simulation I used the verilog code provided by synopsys.

This is my piece of code to generate the Name Mapping File:

Code:
saif_map -start
...
sh vcd2saif -input ../sim/rtlvcd.dump -output ./rtlvcd.saif
saif_map -review -create_map -source_instance test/u0 -input ./rtlvcd.saif
saif_map -report
saif_map -write_map ./aes_cipher_ptpxmap.tcl -type ptpx

I attach my full script and the log.

Syn script: View attachment syn.tcl.txt
Px script: View attachment tim_rtlvcd.tcl.txt
Syn log: View attachment log_syn.txt

Do you have any idea how to solve the problem?
The presence of "Name Mapping File" affects a lot on the estimate of consumption?

Thanks for your help!
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top