Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

mit_db with modelsim

Status
Not open for further replies.

venkatpasumarthi

Newbie level 3
Joined
Apr 2, 2015
Messages
4
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
26
hi , i am final year MTECH student , i am doing final year project on ecg qrs detection. For this project i downloaded mit-bih arrythmia database. i want run the simulation in modelsim but i cannot get a way to do that can any one suggest me??
 

can you post your code/test bench here??..where are you getting problems??
 

hi , i am final year MTECH student , i am doing final year project on ecg qrs detection. For this project i downloaded mit-bih arrythmia database. i want run the simulation in modelsim but i cannot get a way to do that can any one suggest me??

Use verilog and/or vhdl to read the data from the database files in your testbench and stimulate your uut.

BTW modelsim isn't a DSP tool, so why did you post a question about a digital logic simulator in the DSP area? I should probably move this thread.
 
i though that the signals are analog and procesed by dsp. thats why i have posted the post in dsp. thank you for your suggetions

actually i dnt know how to write the code in order to call that file.
 
Last edited by a moderator:

Obviously you need to understand the data format to be able to read it in your testbench. Depending on the data format, it may be necessary to convert the data to a format that can be easily read. You didn't tell anything about the data format, so we could only guess about it.
 

thank you and now i find a way to write that code and am trying to write a code. if any errors occured i will be here soon.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top