Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Running makefile in vsim gui mode.

Status
Not open for further replies.

vineethsukumar

Newbie level 4
Joined
Jan 29, 2015
Messages
5
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
45
I am working on UVM using Questasim.I have created a makefile. I have two test cases.When I am running it from Questasim it is running in transcript.I have added add wave -r /* command also.But wave window is blank.I am working in windows.

Same happens if I am running in Cygwin also.Gui is not coming up.Everything is running in command line only.I am attaching the makefile below.
How to solve this issue???


Code:
###################################################################RTL FILES
RTL = ../DUT/*	

#####################################################################FILE_LIST
FILE_LIST = flist

#################################################################
DEFAULT_TEST 	= "my_test2"

###############################################################TOP_MODULE
TOP_MODULE = my_top

###############################################################SIMULATION PARAMETERS
COVERAGE = -coverage
COVERAGE_DATABASE_FOLDER = coverage_database
COVERAGE_FOLDER = coverage
LOG_FOLDER = sim_log
UVM_VERBOSITY 	= "UVM_LOW"
UVM_OPT 	= +UVM_VERBOSITY=${UVM_VERBOSITY}
UVM_AWARE_DEBUG = -classdebug \
		  -msgmode both				\
		  -uvmcontrol=all			\
		  -debugDB=questa.dbg			\
		  -assertdebug			\
		  -onfinish stop			\
		  +uvm_set_config_int=*,recording_detail,400 \
#		  +UVM_CONFIG_DB_TRACE \
		  +UVM_OBJECTION_TRACE

VSIM_OPT 	= -voptargs="+acc" \
				-novopt \
		  -sv_seed random
		  
###############################################################DO_COMMAND
DO_COMMAND = -c -do "log -r /*;radix hex -showbase; echo $Sv_Seed; add wave -r /*; run -all; coverage save ./$(COVERAGE_DATABASE_FOLDER)/$$i.ucdb ; q;"
		  
##################################################################TEST_CASES
TEST_CASES = my_test2 my_test_with_1_1
#TEST_CASES = my_test2 my_test_with_1_1 my_test_with_32_1 my_test_with_alternative_1 my_test_with_max_clk_divider
#TEST_CASES = my_test_with_ass_0
#TEST_CASES = my_test2
#TEST_CASES = my_test2 my_test_with_1_1 my_test_with_32_1 my_test_with_alternative_1 my_test_with_clk_less_than_20 my_test_with_max_clk_divider

###############################################################CREATE LIBRARY & FOLDERS
vlib:
	vlib work
	mkdir $(COVERAGE_DATABASE_FOLDER)
	mkdir $(LOG_FOLDER)
	
##############################################################COMPILE FILELIST & FILES
comp: vlib
	vlog 						\
		+acc +FecUdpEffort=3 -sv -mfcu -f $(FILE_LIST)
		
###########################################################RUN SIMULATION		
run: comp
	for i in $(TEST_CASES) ; do \
		vsim $(UVM_AWARE_DEBUG) $(VSIM_OPT) $(COVERAGE) $(DO_COMMAND) $(TOP_MODULE) -l ./$(LOG_FOLDER)/$$i.log +UVM_TESTNAME=$$i $(UVM_OPT); \
	done
	vcover merge -out $(COVERAGE_DATABASE_FOLDER)/my_result.ucdb ./$(COVERAGE_DATABASE_FOLDER)/*.ucdb
	vcover report -html -htmldir $(COVERAGE_FOLDER) $(COVERAGE_DATABASE_FOLDER)/my_result.ucdb

#################################################################CLEAN-UP
clean:
	rm -rf *.log
	rm -rf work
	rm -rf transcript
	rm -rf *.wlf
	rm -rf $(COVERAGE_FOLDER)
	rm -rf $(COVERAGE_DATABASE_FOLDER)
	rm -rf $(LOG_FOLDER)
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top