Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Verilog: Using force comman in the DUT..

Status
Not open for further replies.

nohj_yar

Junior Member level 1
Joined
Jan 16, 2015
Messages
18
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
133
HI all!

I understand that the force command is not supported by synthesis. Is there any way to write it in the DUT? Or is there a way to force a signal (without using the force command) inside the DUT without writing it in the testbench instead write it inside the DUT?

THanks...
 

Well, I don't really understand your point, I m sorry for that. A force command completely overrides the signal value. If you want some signal to have particular value all the time, you can use "localparam" and if you want to assign some particular values to a signal you can use assign fro wires and always block for registers.

Please provide some examples to elaborate your question.

Thanks.
MSBR
 
The force statement is a debugging aid, and hack for unfinished descriptions. Typically a force is used with a hierarchical reference to a signals to circumvent the normal operation of the DUT.
So you need to describe the normal operation of the signal in question, and what you want the force to accomplish.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top