Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

[SOLVED] floating point in verilog

Status
Not open for further replies.

u24c02

Advanced Member level 1
Joined
May 8, 2012
Messages
404
Helped
2
Reputation
4
Reaction score
2
Trophy points
1,298
Activity points
4,101
Hi.

I want to know how to handle of floating point in verilog.

for example, 12.341 × 73.928

How do you usually handle of floating point in verilog?
In my case, I just take 10000 times to each them.
but this problem is not accurate.
so I need your usually methods.
 

Is this for synthesizable code or testbench? If the latter, use the 'real' datatype. If the format, look up IEEE 754.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top