Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Worst path categorisation in RTL compiler

Status
Not open for further replies.

kannanunni

Member level 1
Joined
Nov 27, 2014
Messages
39
Helped
2
Reputation
4
Reaction score
2
Trophy points
8
Location
Trivandrum, Kerala
Activity points
318
By using RTL compiler i synthesized a design and reported it's worst path by the command
report timing -worst 200000 -summary > file_location

it dumps a file approximately containing 169379 path with slack -2988ps.

is there any command to categorize these path according to path groups or cost groups??

my requirement is to dump paths with same start and end point into a single file.
is there any provision for this in RTL compiler??

or do i need to write a tcl parser for that??

reported file(worst timing path summary) look like this..


path 1:

Timing slack : -2988ps (TIMING VIOLATION)
Start-point : DUT2/Eigen/theta_calc/theta_div/temp_r_q_reg[63]/CLK
End-point : DUT2/Eigen/theta_calc/theta_div/temp_r_q_reg[82]/DATA

path 2:

Timing slack : -2988ps (TIMING VIOLATION)
Start-point : DUT2/Eigen/theta_calc/theta_div/temp_r_q_reg[63]/CLK
End-point : DUT2/Eigen/theta_calc/theta_div/temp_r_q_reg[82]/DATA

path 3:

Timing slack : -2988ps (TIMING VIOLATION)
Start-point : DUT2/Eigen/theta_calc/theta_div/temp_r_q_reg[63]/CLK
End-point : DUT2/Eigen/theta_calc/theta_div/temp_r_q_reg[82]/DATA

path 4:

Timing slack : -2988ps (TIMING VIOLATION)
Start-point : DUT2/Eigen/theta_calc/theta_div/temp_r_q_reg[63]/CLK
End-point : DUT2/Eigen/theta_calc/theta_div/temp_r_q_reg[82]/DATA

path 5:

Timing slack : -2988ps (TIMING VIOLATION)
Start-point : DUT2/Eigen/theta_calc/theta_div/temp_r_q_reg[63]/CLK
End-point : DUT2/Eigen/theta_calc/theta_div/temp_r_q_reg[82]/DATA
 

Check the options for the report_timing command. There must be -from and a -to option where you can specify the start and the end points. This will dump the report only for this pair of points.
DC compiler definitely has this option.
 
Check the options for the report_timing command. There must be -from and a -to option where you can specify the start and the end points. This will dump the report only for this pair of points.
DC compiler definitely has this option.

ok. that's solved.

how to create path_group in rc compiler?
i want to create r2r path using path_group command and find. so how to detect all reg output and input using find command? can i make it in a single command?
or should i write tcl script with reg expressions for finding reg ports?

thanks in advance, please do reply..
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top