Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

how to do postsimulation ?

Status
Not open for further replies.

mic_huhu

Member level 3
Joined
Dec 16, 2004
Messages
63
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
499
notimingchecks

how to do post simulation after synthesis using design compiler ?

Thanks advance!
 

Design Compiler is a synthesis tool not simulator. You synthesis your design in DC and generate the netlist and sdf (standard delay format) file. Then annotate this timing file in a simulator like NC-Sim and verify the timing & functionality.
 

depend on your compiler
after DC, you should get netlist
use APR(like Apollo) generate SDF
then add this SDF to your compiler script
(ex: in VCS add
"$sdf_annotate"a.sdo", pattern.chip, , "sdf.
log", "TOOL_CONTROL", ......"
to your testbench
reference VCS /NC user guide)

then use simulation tool trace the waveform(the same as RTL sim)
 

Hi, mic_huhu

Post-simulation is after P&R.
Pre-simulation is after synthesis.
 

Thanks .everybody.

but i want to know how to do simulatio after synthesis using Modelsim.
 

Hi mic_huhu

Here is the way how you do it. I am assuming your testbench code is in verilog here.

suppose your DUT top level is called rtl_top.

module rtl_top(.....);
.....
endmodule

You might have synthesized the above module using Synopsys-DC.
Now you will get a netlist corresponding to that. Try to get the "SDF" file for that netlist.

Now in your testcase do the following.

module testcase ()

rtl_top DUT (....) //Instantiating the top level netlist

initial begin
$sdf_annotate("rtl_top.sdf", DUT ,);
//here I am assuming sdf file is there in the current directory.
end
endmodule

Now you can simulate the testcase() module using modelsim.

Hope this helps.
 

Hi AKP,
You mean gate Sim ,
Usually after synthesis
Pre lay out STA and Post layout STA is done.
 

Thanks ,

I get it.

The problem is due to My Modelssim 's vesion.
 

Hi Spauls
Yes I mean gate level simulations.
STA is a Static Timing check.
Gate Level sims are dynamic timing checks along with the functionality
 

DesignCompiler write a sdf file , and use it to back annotation .
 

why I use +notimingchecks ,the result is ok.
but I disable notimingchecks .the result is wrong.
why?

could I use the option +notimingchecks in postsynthesis ??

Thanks advance!
 

1. notimingcheck: don't check setup/hold time violatation
2. nospecify: disable the specify time
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top