Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

[SOLVED] relation between pipelining and clock latency................................

Status
Not open for further replies.

dipin

Full Member level 4
Joined
Jul 16, 2014
Messages
223
Helped
14
Reputation
28
Reaction score
14
Trophy points
18
Activity points
1,731
hi,


in verilog coding is there any relation between clock latency and pipelining????

in a xilinx ip core if i choose maximum pipelining for 16 bit input latency is 9 and for optimal pipelining latency is 6 and with no
piplining latency is 2.

i have used xilinx square root ip.

please give me some information.

thanks & regards
 

Pipelining is the insertion of registers to increase the latency. The reason we do this is to reduce the amount of logic between registers to improve the overall maximum clock frequency.

I not exactly sure of your question.

If you set the latency to 9, the output will come out 9 clocks after it is input.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top