Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to receive data serially to fpga from computer matlab and store it in register ?

Status
Not open for further replies.

saud678

Newbie level 1
Joined
Oct 21, 2014
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
8
i am making a program in which i wants to send data serially from matlab that is angles information to fpga,
kindly help me easiest method to receive and store data from pc ie matlab and store it in fpga register or Ram which ever is easy ...
The storeed data, i will send to stepper motor in steps to run them accordingly,
THANKS
WAITING FOR REPLY :) :smile:
 

The easiest way is to use MATLAB's Instrumentation Toolbox to implement serial communication with the FPGA. You will have to create a UART inside the FPGA to send data to and receive data from the PC. If you have a modern Altera or Xilinx FPGA development board, a serial-USB interface is provided for you.

On the PC side, with the Instrumentation Toolbox, MATLAB will provide functions to open, close and configure the serial port as well as functions for sending and receiving the data. You can write a MATLAB script with these functions to control communication with the FPGA.

On the FPGA side, the UART you created can receive the data from the serial port and send it to the other hardware functions you designed to process the data however you see fit.

I've done this a few times and it works well.

r.b.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top