Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

square root of a number

Status
Not open for further replies.

dipin

Full Member level 4
Joined
Jul 16, 2014
Messages
223
Helped
14
Reputation
28
Reaction score
14
Trophy points
18
Activity points
1,731
hi,

i need to write a code for finding the square root of the number in verilog and code must be sinthesizable in FPGA . Did anybody suggest me what is the best methode to find square root of a number (fastest methode) . THE NUMBER SHOULD BE LARGE. what about cordaic algoritham is it fast???
is there any readymaid code for this???
is it possible to find square root including fractional part .

eg: sqrt of 8 =2.83 like this .plz give me some guidence.
thanks & regards
 
Last edited:

Re: square root of a number..............

Hi,
Are you have plan to use IEEE-754 floating point format? Your input format is not clear.
Reagrds
 

Re: square root of a number..............

whether you want floating point or integer, xilinx and altera provide cores to do such a thing already.
 

In his previous thread, dipin was dealing with the Xilinx ddivider core. Presumed, this is also a Xilinx related problem, it seems a small step to review the list of available math functions from Xilinx, and ask specific questions if necessary.

Or if it's a homework problem demanding the implementation of a squareroot algorithm from the scratch, to specify the input and output formats and other features (optimization speed versus area, sequential solution possible?) precisely.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top