Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Use STA tool to report the real arrival time of D-FF

Status
Not open for further replies.

alexchen0823

Newbie level 2
Joined
Jan 27, 2014
Messages
2
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
13
Hi:

I have a question about STA. I am using Synopsys PrimeTime .

Currently, I have a design netlist, SDF and SDC constraint.

There are some clocks define in SDC file. I want to know the real time that clock arrival the D-FF's clock pin.

It should be clock pulse time + latency. Right ?

How to use one STA command to know the real arrival time ?

Thanks!
:grin:
 

A report timing command having this flop as the destination flop should solve your problem I suppose.
 

as mentioned by sharath666, report_timing -to <flop_you_want>, the clock path will be describe.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top