Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How To Glow Leds On FPGA by modifying Linux source code

Status
Not open for further replies.

karthik gunda

Newbie level 3
Joined
Mar 3, 2014
Messages
4
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
28
Hi All,

I am working on OR1200 Processor and I have generated a bitfile Using XILINX ISE 13.2 for the ORPSOC consisting the OR1200 Processor. I have also Ported Linux on the processor through FPGA. But my requirement is after Linux is up i want to run a C prorgram so that the LEDs on the FPGA GLOW.

Please help me in doing this JOB.


Thanks
Karthik Gunda.
 

Your question is way too vague. You're unlikely to get any help without narrowing down to specific problems you are having.
 
Hi,
Actually when I saw the linux source code I found directory drivers/leds in that there are many c programs related to leds, so my question is how can I use those modules and glow the leds present on my FPGA(virtex5). I hope the question is clear.

Thanks,
Karthik.
 

What is the Logic in your VHDL code to control the LEDs ?
I hope that you have assigned LED pins in UCF.
What is the architecture or flow of your logic in simple ?
 

What is the Logic in your VHDL code to control the LEDs ?
I hope that you have assigned LED pins in UCF.
What is the architecture or flow of your logic in simple ?

Hi,

Actually my design is an opencores ORPSOC which contains a Or1200 processor and one of its slaves is GPIO module which is given a address space of "0x91000000" and the code flow for that module is as below


always @(posedge wb_clk)
if (wb_rst)
gpio_o <= 0; // All set to in at reset
else if (wb_stb_i & wb_we_i)
begin
if (wb_adr_i == 0)
gpio_o[7:0] <= wb_dat_i;


I have assigned the 8 leds on my FPGA to gpio_o[1], gpio_o[1], .... correspondingly.

Hope I am clear.

Thanks,
Karthik
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top