Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Clock Period over-constraining vs Setup Uncertainty

Status
Not open for further replies.

ivlsi

Advanced Member level 3
Joined
Feb 17, 2012
Messages
883
Helped
17
Reputation
32
Reaction score
16
Trophy points
1,298
Activity points
6,868
Hi All,

Why is Setup Uncertainty needed? Why not just over- constrain the Clock Period?

E.g., instead of setting the Setup Uncertainty to 20%, why not to define the Clock Period smaller in 20% than its original one?

Hold violations are not handled during Logic Synthesis. So, is Clock Uncertainty for Hold redundant?

Thank you!
 
Last edited:

both are possible, you just need to be consistant over your flow.
 
  • Like
Reactions: ivlsi

    ivlsi

    Points: 2
    Helpful Answer Positive Rating
Should uncertainty be defined separately for MIN and MAX delays or the definitions are just for HOLD and SETUP?
I mean I need to define MIN and MAX uncertainty for HOLD and MIN and MAX uncertainty for SETUP or just a single uncertainty for HOLD and a single for SETUP?
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top