Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Xilinx ISE DCM Mapping Error

Status
Not open for further replies.

u24c02

Advanced Member level 1
Joined
May 8, 2012
Messages
404
Helped
2
Reputation
4
Reaction score
2
Trophy points
1,298
Activity points
4,101
HI.

I'm using like following that

Code Verilog - [expand]
1
2
3
4
5
6
7
8
9
10
11
12
dcm dcm_inst (
    .CLKIN_IN ( fclk), 
                  .USER_RST_IN( 1'b0 ), 
                  .CLKFX_OUT( ), 
                  .CLKIN_IBUFG_OUT(clk_out_0 ), 
                  .LOCKED_OUT( lock )
  );
...
assign reset_n = lock;
...
always @(posedge clk_out_0, negedge reset_n) begin
 if(reset_n == 1'b0)begin


...


When i remove 'lock' in that code, Error does not happen,
But i need 'lock' for reset. above code happens error.

error message like following that..

ERROR:LIT:136 - Some of CLK* output pins must be routed if CLKIN pin of DCM
symbol "physical_group_lock/dcm_inst/DCM_INST" is routed.


I don't understand and How am i suppose do that?
 
Last edited by a moderator:

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top