Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

[MOVED] LPC2148 interface with relay

Status
Not open for further replies.

srinivas karthik

Newbie level 1
Joined
Mar 25, 2013
Messages
0
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,280
Activity points
1,288
Hello,

Using LPC2148 I want to run a DC Motor using relay and ULN2003. I was able to do comfortably with 8051 but got stuck with LPC2148.

Please find the Image.
The same connections in the figure with 8051 was working fine.

Please help in switching the relay using LPC2148
 

Attachments

  • relay.png
    relay.png
    17.7 KB · Views: 60
Last edited:

How did you given 5v from lpc2148 to relay bcoz it supply only 3.3v .
And what voltage u want to drive dc motor.?
What is problem is not clear.
 

can anyone help me with programming or algorithm part of dc motor driving in directions as intended by a switch. say sw1 is pressed - rotates motor in clockwise direction;

when sw2 is pressed- rotates motor in anti-clockwise direction.
 

user newbie - please start your own new thread for your question. It can be difficult enough answering 1 person's questions on a thread without getting everyone confused.
The drawing posted by srinivas karthik does not appear to make sense to me - yes, you can drive a ULN2003 input with the 3.3V I/O on the LPC2148, but there is no 5V connection on the processor. I am assuming that you are trying to activate the relay by grounding one end of the coil through the ULN2003 output. You need to set the GPIO pin function and state using the GPIO peripheral registers IOPIN, IODIR, IOSET, IOCLR. Do you have a manual or datasheet for the processor?
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top