Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

[SOLVED] set_false_path for static input

Status
Not open for further replies.

scscsc

Junior Member level 2
Joined
Apr 20, 2010
Messages
21
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,464
Hi,

I have a circuit with two asynchronous clocks, one low frequency clock SCK is for programming the coefficients COEF[19..0] in the instance ISPI at the startup phase. Once the programming process is finished, COEF[19..0] doesnot change any more, the other instance IFILTER cadenced by the other clock DIV takes the values in ISPI/COEF[19..0] and starts to work.

my question is in my file .sdc how can I tell the tool that COEF[19..0] is a static input for IFILTER? because if not, there is a huge negative slack and the tool tries hard to minimize the delay. Here is my script, it seems that i used set_false_path in a wrong way

create_clock [get_pins IDCO/DIV] -name DIV -period 3 -waveform {0 1.5}
create_clock [get_ports RESNODE] -name reset_ideal -period 3 -waveform {0 1.5}
create_clock [get_ports SDE] -name en_node_ideal -period 1000 -waveform {0 500}
create_clock [get_ports SCK] -name sck_ideal -period 100 -waveform {0 50}
set_false_path -from [get_pins ISPI/COEF] -to [get_nets IFILTER/*]

Thanks
 

you could also used "set_case_analysis"

set_false_path -from [get_pins ISPI/COEF[*]], you do not need -to.with this command you declare all paths who come from are declare to false.
 
  • Like
Reactions: scscsc

    scscsc

    Points: 2
    Helpful Answer Positive Rating
set_case_analysis works well, thanks rca!
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top