Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Zero delay loop in verilog design

Status
Not open for further replies.

santhosh1626

Newbie level 4
Joined
Nov 27, 2012
Messages
5
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Location
bangalore
Activity points
1,312
# ** Error: (vsim-3601) Iteration limit reached at time 530 ns.
# This is a zero-delay loop
i am running the test case in UVM to verify the core.
The core have accumulator,instruction decoder and ALU.
The ALU is getting the first data only. While coming to second the instruction , the simulator is getting the error like this
Simulator :model sim
# ** Error: (vsim-3601) Iteration limit reached at time 530 ns.
# This is a zero-delay loop:
Please suggest me about this issue to rectify.........
 

Check the Questa User Manual for suggestion for dealing with zero delay loops.

You may have to set breakpoints and step through some of your code to find the loop.
 
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top