Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

[Floating Point Number declaration in VHDL package File]

Status
Not open for further replies.

whizkid123

Junior Member level 2
Joined
Oct 6, 2011
Messages
23
Helped
1
Reputation
2
Reaction score
3
Trophy points
1,283
Location
Singapore
Activity points
1,421
Hi all,

I have assigned floating point number in my VHDL package errors and spyglass is flagging a warning


Use of FLOATING point type is not supported .


Can anybody know, is this a potential warning/Error which needs to be fixed?

Regards
Murali
 

Well RTL code only knows bit/std logic signals, floating point is an abstraction, coded in your std_logic_vector.
Floating is only usable with REAL type in VHDL, and this is not synthesisable, only for test purpose.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top