Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

designing of numerical controlled oscillator

Status
Not open for further replies.

vlsi_vishal

Newbie level 6
Joined
Oct 27, 2012
Messages
13
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,347
hi i am designing of numerical controlled oscillator for 5.9Ghz oscillation frequency but i dont understand how can i build lookup table for converting of numerical data into sinusoidal waveform,plz help me to build lookup table
 

Suppose you want to divide the sinewave into 100 steps. You will create 100 lookup data.

Example, in BASIC programming language:


Code Basic4GL - [expand]
1
2
3
4
5
6
7
FOR J = 1 TO 100
 
K = J / ( 2 * PI )
 
LOOKUPDATUM ( J ) = SINE ( K ) * AMPLITUDE + DCV_OFFSET
 
NEXT J



The lookup values incorporate your desired amplitude and DC volt offset factored in, but you can only do this if they never change.

To produce a 5.9 GHz sinewave, you will send the entire array of 100 lookup values once per cycle. Hence your device must do this at the very fast rate of 590 GHz. I have not heard of a microcontroller which can operate that fast.

Nor at 59 GHz which would allow a table of 10 lookup data.
Nor even at 5.9 GHz.
 

At that frequency, any resolution at all is going to need an
exotic DAC. But the more sensible approach is a sine wave
oscillator, with the passives being numerically controlled.
Then the fundamental is the highest frequency you want,
and higher ones only need filtered out.

I don't know where you'd get a 5.9GHz*128 reference clock,
or the logic to run with it. Step back and look at practicalities.
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top