Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

how to read image pixel values in verilog coding

Status
Not open for further replies.

dharanijayakumar3

Newbie level 2
Joined
Apr 8, 2013
Messages
2
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,290
my project is based on image processing implementation in VHDL.
so i am in need of processing pixel values in verilog .
can anyone help me, how to read the pixel value in verilog.

With thanks,
J.Dharani
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top