Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

About Cadence's ncverilog....

Status
Not open for further replies.

NATHANHSIEH

Newbie level 6
Joined
Nov 24, 2004
Messages
11
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
142
nc verilog cadence

Hi~
Have you even run the Cadence's tool?How to see the wave(gate leven sim)??
Could you tell me the method or reference material....
 

ncverilog tutorial

you should use dump var command to dump the waveform and you could display it in nave or other DSO
 

nc verilog waveform

You can use following lines of code to ur toplevel

Code:
initial begin
   $shmopen("./WAVEFORM");
   $shmprobe(top_module_name, "AS" );
end
This will dump wave form data in WAVEFROM dir.
Then you can invoke simvision to see the waveforms!

Check ncverilog module to get more help on $shmprobe and $shmopen
system functions.
 

cadence nc verilog

HI!!!nand_gates:
sorry!!!could you say that more clear??
what is ("./WAVEFORM")&"AS"???
that is testbench's syntax ???
 

verilog $dumpvar shm

Hi,
"./WAVEFORM" is dir name where you want the wavefrom data to be dumped.
"AS" is wave form dumping option it stands for all signals in hirarchy
 

ncsim tcl probe

NATHANHSIEH said:
Hi~
Have you even run the Cadence's tool?How to see the wave(gate leven sim)??
Could you tell me the method or reference material....

Use NC's TCL,

-- tcl file
database -shm -default waves
probe -shm top -depth all -all
run
exit
-- tcl file end

Invoke ncsim <usual options> -input cmd.tcl

You will see a waves.shm in current directory.

Also see $CDS_INST_DIR/tools/doc/ncsim

or invoke "cdsdoc".

HTH
Ajeetha
http://www.noveldv.com
 

cadence ncverilog tutorial

You'd better read ncverilog tutorial first.
 

nc verilog

Please go through manual,
aget netlist and make Test bench ,
apply top level *.sdf file and run.
 

cadence how to dump waves

yes, the better way is using TCL.

you can use 3 step way.

ncvlog j-work worklib *.v
ncelab worklib.top
ncsim -input input.tcl worklib.top

the input.tcl should be like:

database -open -vcd (shm) wave......
probe -.......
run 1000 ns
exit

after run, you can see wave.vcd(wave.shm) in current directory.
launch simvision to view waveform
 

Hi

Should be:

initial begin
$shm_open("./WAVEFORM");
$shm_probe(top_module_name, "AS" );
end
 

Re: cadence how to dump waves

yes, the better way is using TCL.

you can use 3 step way.

ncvlog j-work worklib *.v
ncelab worklib.top
ncsim -input input.tcl worklib.top

the input.tcl should be like:

database -open -vcd (shm) wave......
probe -.......
run 1000 ns
exit

after run, you can see wave.vcd(wave.shm) in current directory.
launch simvision to view waveform


If you want to debug realtime with simvision invoke this

ncsim -gui-input input.tcl worklib.top

then you can run through and force signals etc. Simvision is very powerful for debugging!
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top