Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Syntheis report in Xilinx

Status
Not open for further replies.

samiksha

Junior Member level 1
Joined
Jan 3, 2008
Messages
15
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,397
I'm designing a structure in VHDL with clock. the design is getting simulated and giving me the correct output but when i synthesise that code, i get the following information regarding delay.

Minimum period: No path found
Minimum input arrival time before clock: No path found
Maximum output required time after clock: No path found
Maximum combinational path delay: No path found
And also the area result does not show any LUT utilization?
Can anyone let me know the problem in the design?
 

This usually happens when all of your logic is synthesised away, for various resons, but the two usual culprits are
1. you have no outputs
2. a clock is stuck at 1 or 0, or a reset is stuck at '1', or an enable stuck at '0'.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top