Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to deal with on chip memory timing?

Status
Not open for further replies.

fpganewb

Newbie level 2
Joined
Feb 26, 2013
Messages
2
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,292
I want to make a fsm on an altera FPGA which uses on-chip memory.
I want to take an input, get data from memory based on input, use that data in the next cycle.
What is a good way to write verilog code for this while keeping timing in mind?
 

Well, the on-chip RAM is synchronous and so is the circuitry you will design to access it. Therefore your main concern is knowing in which clock cycle your output data is valid and using it at that time. When the output data is available varies based on whether or not you register the outputs and what memory implementation you chose from the Megacore tool, or, inferred from the RTL you wrote. If you are generating RAM cores using Altera tools., then the datasheet will explain the memory timing. If you wrote RTL to infer a memory, then you already know when your output data is valid asit is your own design.

r.b.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top