Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Unbound variable error

Status
Not open for further replies.

guitar_man

Newbie level 4
Joined
Oct 28, 2012
Messages
5
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,345
Greetings,

I've stumbled upon a problem - I'm using ONSEMI cmos07 technology library and am trying to simulate my design using spectre. But the thing is, that I get a bundle of errors such as:

*Error* eval: unbound variable - abscapvalue
Callback: cmos24modFile->display => adsTechnology == "cmos24"
Message: *Error* eval: unbound variable - adsTechnology


The errors occur even before I start the simulation, they occur when I try to include a transistor from my transistorLib library to the schematic.

I've read everything I could find on the net about this error type topic, but nothing works for me.
I assume that transistorLib library components are not connected to my technology library and thought that it was a problem with some SKILL scripts not loading. So I've put this code to my .cdsinit file:

sstatus(writeProtect nil)

let((skillPath)
skillPath= strcat(
". ~ " ; Current & home directory
prependInstallPath("/eda/cadence/technology/ads2002.4/system/src/adsArtist/skill/ ")
)
setSkillPath(skillPath)
)


I assume this adds a path to my SKILL scripts. But that doesn't solve the problem. If anyone has any bright ideas to why this error occurs and how to solve it, I'd be grateful to hear it.

Everything, concerning adsTechnology variable - I don't even know what this thing is and where to look for it :) I've added the CIW window errors as an attachment.

CIWerrors.png

Bye the by, I'm running ICS514 on CENTOS4.8

Thanks for your time, Aleksandr.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top