Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

writing nxn matrix inversion by verilog code

Status
Not open for further replies.

sffong2

Newbie level 2
Joined
Oct 30, 2012
Messages
2
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,295
Hi,
I have a project on writing a n*n matrix inversion by using verilog code. I hope can doing this by using QR decomposition. Can anyone give me some clue on:
1) how can making the code is suitable for n*n matrix by just changing the parameter ?
2) a module that can do floating point division.
 

Hi,


a module that can do floating point division.

Why do you need floating point. It is difficult to deal with it. If possible to any extent you should use fix point.
but I think that in Xilinx core generator you can make floating point division module (if you are using xilinx FPGA).

About point 1 can you explain a little, you need to store your data in some sort of memory before inverting your matrix. I think it is some sort of image matrix, right?
Can you give some detail about point 1

Bests,
Shan
 
Hi,
I am using Altera quartus ii version 9.1 to doing this project. The point 1 that I mentioned is ,for example ,determinant for a 2x2 matrix, det= (a11*a22 - a12*a21 )
however, in matrix 3x3 det= a11 (a22*a33-a23*a32) - a12 (a21*a33-a23*a31) + a13 (a21*a32-a22*a31). the number of input and function are different, how can I doing this determnant by just changing the parameter n? Because I am doing n*n matrix, mean the module that I doing can used for any matrix size by just changing the parameter,n.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top