Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Setting clock domain crossings as false paths

Status
Not open for further replies.

saikasyap

Newbie level 5
Joined
Aug 22, 2010
Messages
8
Helped
1
Reputation
2
Reaction score
1
Trophy points
1,283
Location
Bangalore
Activity points
1,328
Hi Guys,

Somewhere, I have read like we need to set clock domain crossings as false paths.

Why do we need to set clock domain crossing paths as false paths?

Can anyone give explanation with some example?

Thanks in advance
 

Not Always. CDC(Clock Domain Crossings) analysis required very much in bigger SOC's. If these clock domains are not interacting in any of the functional modes, you can always set false paths. If its interacting, you cant set false path untill and unless , you have any other mechanisam to test /verify these Asynchronous timing checks.
 

Hi,

I strongly feel that you have to always use set_false_path or set_clock_group in DC, so that you can avoid the compiler to unnecessarily optimize this path and throw warnings/error . The actual intent is that whenever we make a CDC, then the timing of signal arriving on first flop of the synchronizer is unknown and hence not to be timed.

---------- Post added at 07:59 ---------- Previous post was at 07:58 ----------

 

Alrighty, false paths should be set when different clock domains are asynchronous. In asynchronous communication the capure flop would be sync flop. sync flops are nothing but a group flops connected back to back to avoid metastability problems.
If they are synchronous, they need to be timed.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top