Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to do 8B/10B enco/deco for clock signals in Verilog/VHDL

Status
Not open for further replies.

jay_ec_engg

Full Member level 3
Joined
Jun 19, 2004
Messages
155
Helped
1
Reputation
2
Reaction score
0
Trophy points
1,296
Location
India
Activity points
1,581
8b 10b verilog

Hi friends...
I have 3 clocks I want encode them... How can I encode them...
8B/10B , Manchester or Pulse width ? How to do it in Verilog/vHDL??

Jay
 

8b/10b vhdl

jay_ec_engg said:
Hi friends...
I have 3 clocks I want encode them... How can I encode them...
8B/10B , Manchester or Pulse width ? How to do it in Verilog/vHDL??

Jay

I think it is not difficult for using VHDL/Verilog

--------Code by verilog----------------
module man_code(clk,in,out);

input in,clk;
output out;
reg out;

always @(posedge clk)
begin
if (in)
out = clk ;
else
out = !clk;
end

endmodule

----------Simulation wave form--------------
 

8b10b verilog

Thanks...!!

What if I have 3 clocks and I want to encode them into single optut???

Please do reply

jay
 

encoder 8b/10b vhd

You can have a reference to some sample code about 8b/10b and manchester endec from xilinx.com. you can also try some ampp core from altera.com if you are altera user.
 

8b/10b verilog

Ya I used altera also.. But I have to use xilinx this time.... and I cant buy core also as per project cost... I have to write code myself... Can u help me for the same...
 

8b 10b encoding verilog

one paper from IBM lab can tell you how to write 8B/10B encoder/decoder. you can search it.
i remember one master's paper is about how to write it.
but it is chinese.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top