Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Problem in ASIC Synthesis

Status
Not open for further replies.

anishsingh

Junior Member level 2
Joined
Feb 27, 2012
Messages
20
Helped
1
Reputation
2
Reaction score
1
Trophy points
1,283
Activity points
1,421
Hi I am trying to do a synthesis of verilog code by using RTL compiler .. The code got correctly compiled in modelsim but is giving errors while rtl compilation .. I am using ..

parameter signed b0 = 8'b00000011 ;

.. lines in codes to supply constants.. The rtl synthesis is giving the error of b0 being an undeclared variable ! I tried to make ..

reg [7:0] b0;
assign b0 = 8'b00000011 ;

..but again it gives an error that register declaration is not allowed !!

please help !!
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top