soloktanjung
Full Member level 6
Hi,
I have timing analysis problems for post-layout sta using primetime. This is the part of the report:
My sdc constraints:
Question is: Why is clock network delay (propagated) in the captured path is zero while in the launch path is 2.40 (it has value). Is it any problem with my sdc constraints?
Check_timing only reported issues regarding no_driving_cell.
Thanks in advance.
I have timing analysis problems for post-layout sta using primetime. This is the part of the report:
Code:
Startpoint: .../ctrl_in_ack_rx_o_reg
(rising edge-triggered flip-flop clocked by clk_i)
Endpoint: dmem_en_out
(output port clocked by clk_i)
Path Group: clk_i
Path Type: max
Point Incr Path
------------------------------------------------------------------------------
clock clk_i (rise edge) 0.00 0.00
clock network delay (propagated) 2.40 2.40
.../ctrl_in_ack_rx_o_reg/CK (EDFFTRX1TL) 0.05 & 4.07 r
...
...
...
dmem_en_out (out) 0.03 & 5.70 r
data arrival time 5.70
clock clk_i (rise edge) 4.00 4.00
clock network delay (propagated) 0.00 4.00
clock uncertainty -0.40 3.60
output external delay -0.50 3.10
data required time 3.10
------------------------------------------------------------------------------
data required time 3.10
data arrival time -5.70
------------------------------------------------------------------------------
slack (VIOLATED) -2.60
My sdc constraints:
Code:
create_clock [get_ports clk_i] -period 4 -waveform {0 2}
#set_clock_latency 2.5 [get_clocks clk_i] -- for place and route stage
#set_clock_latency -source 2.5 [get_clocks clk_i]
set_clock_uncertainty 0.4 [get_clocks clk_i]
#set_clock_transition -rise 0.13 [get_clocks clk_i]
#set_clock_transition -fall 0.13 [get_clocks clk_i]
set_propagated_clock [all_clocks]
Question is: Why is clock network delay (propagated) in the captured path is zero while in the launch path is 2.40 (it has value). Is it any problem with my sdc constraints?
Check_timing only reported issues regarding no_driving_cell.
Thanks in advance.