Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

import library in modelsim

Status
Not open for further replies.

ghostridergr

Member level 1
Joined
Nov 22, 2011
Messages
41
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
1,590
If I run for example the post synthesis model generated in xilinx ise in modelsim stand alone, it asks for the unisim library to be imported? Where do I find it? In the modelsim folder or in the xilinx? in which path?

I am in modelsim and choose File->Import->Library but then I do not know where to browse for the library.
 

Not sure exactly what you are asking, what without any actual error messages (hint, hint) but maybe you just need to run compxlib? Have you done that yet?

If not, run compxlib so you get the xilinx libraries compiled for your version of modelsim.
 

I think you are asking for **broken link removed**
For VHDL the procedure is the same but you select the vhdl folder and files.
 

Not sure exactly what you are asking, what without any actual error messages (hint, hint) but maybe you just need to run compxlib? Have you done that yet?

If not, run compxlib so you get the xilinx libraries compiled for your version of modelsim.

I did that. I have compiled through my Xilinx Suite the libraries a dozen times. But i still get this error.
Code:
library unisim not found.
if i run the project through modelsim. question: do i have to do this for every project?
 

You need to compile unisim and simprim (using modelsim) and create the library.

The link I have posted seems to be down so I attach the file here
 

Attachments

  • Simulating Xilinx Timing Verilog Gate-Level file in Modelsim.pdf
    260.8 KB · Views: 133

Disclaimer: I didn't read the pdf Alex provided in detail. I just skimmed it so I could be wrong.

I got the impression the PDF essentially was the compxlib equivalent.

I think what you may be missing is that while you ran compxlib you neglected to set up the rest of your environment.

When you run compxlib it generates a modelsim.ini file. Before starting ISE you have to tell it where that is by seting up the environment variable. So for example:

Code:
export MODELSIM=/opt/xilinx/ise_134/compxlib_modelsim-10.1/modelsim.ini

... depending on your actual file paths obviously.

That file contains lines such as this:

Code:
simprim = /opt/xilinx/ise_134/ISE_DS/ISE/vhdl/ ... etc ...

That error message you got usually means that it cannot find unisim, because it has not been defined where to find it. The above environment variable + file content should do just that.

Hope that helps.

---------- Post added at 18:51 ---------- Previous post was at 18:48 ----------

Additionally: In case you start up the simulation directly from modelsim (as opposed to launch from ISE) ... maybe for a first try launch the simulation from ISE. That sometimes helps in the sense that you get some flags + environment settings "for free". If that works you can then try it standalone.

---------- Post added at 18:57 ---------- Previous post was at 18:51 ----------

Oh yeah, just remembered. You may have to setup the MODEL_TECH environment variable as well if that has not been done already. Just open your modelsim.ini and do a search on "$MODEL" or some such. If it contains that, then it needs that string.

Anyways, all this stuff really is just part of the installation guide that you should be able to find from the vendor(s). Either xilinx or modeltech should have a nice PDF on this.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top