Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Display text , using a board FPGA

Status
Not open for further replies.

adelin

Newbie level 6
Joined
Jan 15, 2011
Messages
12
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,374
hello! I want to display text on a CRT monitor, using a board FPGA (Xilinx Spartan2 XC2S50-PQ208). Can you help me with the simplest possible example? (VHDL code). Thank you!
 

you will need a video controller first. You havent said what the video format is.
 

What do you mean by video controller and video format? Video format refers to the resolution CRT monitor?
 

No. What format is the CRT? VGA, PAL, NTSC?
 

Then you will first need to get hold of VGA controller, like imbichie says, to control the sync and blanking signals. I suggest searching opencores for a controller, or if you want to write your own, start at wikipedia for the line and pixel timings:
Video Graphics Array - Wikipedia, the free encyclopedia

Then you can simply store the characters in a pixel array in some memory somwhere, or sync your character generation with the VGA controller.
 

Thank you all .. ".. with small steps can go far ..". some time ago, I made ​​a project: display all the primary colors on a CRT. I could show it to the license, but I'm interested in something new .. I want to impress though.
 

hello! i have this code :

LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;

ENTITY display IS PORT (
Column,Row: IN STD_LOGIC_VECTOR(9 DOWNTO 0);
Red,Green,Blue: OUT STD_LOGIC);
END demo;

ARCHITECTURE Dataflow OF display IS
BEGIN

-- Red boarder
Red <= '1' WHEN
(Row(9 DOWNTO 0) = "0000000000") OR -- rows 0
(Row(9 DOWNTO 0) = "0111011111") OR -- rows 479
(Column(9 DOWNTO 0) = "0000000000") OR -- columns 0
(Column(9 DOWNTO 0) = "1001111111") -- columns 639
ELSE '0';

-- Green small square
Green <= '1' WHEN
((Row(9 DOWNTO 4) = "011000") AND -- use only the first 6 most
(Column(9 DOWNTO 4) = "001000")) -- significant bits giving a
ELSE '0'; -- 16 x 16 pixel square


-- Blue letters "E C"
Blue <= '1' WHEN -- this is just setting
-- Blue to 1 when any one of the following conditions is true
((Row(9 DOWNTO 4) = "001000") AND
((Column(9 DOWNTO 7) = "001") OR (Column(9 DOWNTO 7) = "011"))) OR
((Row(9 DOWNTO 4) = "001001") AND
((Column(9 DOWNTO 4) = "001000") OR (Column(9 DOWNTO 4) = "011000"))) OR
((Row(9 DOWNTO 4) = "001010") AND
((Column(9 DOWNTO 4) = "001000") OR (Column(9 DOWNTO 4) = "011000"))) OR
((Row(9 DOWNTO 4) = "001011") AND
((Column(9 DOWNTO 4) = "001000") OR (Column(9 DOWNTO 4) = "011000"))) OR
((Row(9 DOWNTO 4) = "001100") AND
((Column(9 DOWNTO 7) = "001") OR (Column(9 DOWNTO 4) = "011000"))) OR
((Row(9 DOWNTO 4) = "001101") AND
((Column(9 DOWNTO 4) = "001000") OR (Column(9 DOWNTO 4) = "011000"))) OR
((Row(9 DOWNTO 4) = "001110") AND
((Column(9 DOWNTO 4) = "001000") OR (Column(9 DOWNTO 4) = "011000"))) OR
((Row(9 DOWNTO 4) = "001111") AND
((Column(9 DOWNTO 4) = "001000") OR (Column(9 DOWNTO 4) = "011000"))) OR
((Row(9 DOWNTO 4) = "010000") AND
((Column(9 DOWNTO 7) = "001") OR (Column(9 DOWNTO 7) = "011")))
ELSE '0';
END Dataflow;

this VHDL code generate a red border, two blue letters (“EC”), and a green square.
I want to change this code. instead of "E C" to display "LICENTA 2012." Can you help me? Thank you!
 

hi adelin,
may i ask you a question?
can you make changes that you want in this code?
 

Hey Adelin

I want to ask about with which port did you connect the ports of you design, I mean to ask by VGA or what...

Since I am also looking for such program.

can you share something about this project. it will be really helpfull of you
please do reply.

- - - Updated - - -

Hey Adelin

I want to ask about with which port did you connect the ports of you design, I mean to ask by VGA or what...

Since I am also looking for such program.

can you share something about this project. it will be really helpfull of you
please do reply.
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top