Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

design of adaptive iir filter by VHDL

Status
Not open for further replies.

seri

Newbie level 3
Joined
Feb 2, 2012
Messages
3
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,301
hi
i have simulated a digital adaptive iir filter using modelsim by giving noise and sin wave.i have to give analog signal as input.wrot a code using VHDL.i get samples of sin and noise wave by using matlab simulink but i dont how to give this as input of filter into modelsim and how write test bench by workspace value of matlab.:cry:
 

You can easily read in a MatLab written tabulated waveform with Modelsim.
 
  • Like
Reactions: seri

    seri

    Points: 2
    Helpful Answer Positive Rating
thanks FvM
i use other softwares as quartous,xlinx,and need a test bench of analog signal.for this work i use simulink and sin wave give to a/d then workspace block.then of route matlab- desktop-workspace.now i have a out time and digital values of analog signal but how make of it a test bench for vhdl?
 

I don't understand, what's your exact problem. Assumed you have a digital filter VHDL design, then you need sampled analog data with a particular sample rate as input signal. Either the data file generated by Matlab has the correct range and sample rate. If not, you can scale it to the correct number range in the testbench and possibly resample the data to change the sample rate.
 
  • Like
Reactions: seri

    seri

    Points: 2
    Helpful Answer Positive Rating
thank you
but datas of matlab are flouting point while i need fixed point for test bench.
 

Attachments

  • MATLAB.rar
    3.2 KB · Views: 89
  • result.rar
    40.2 KB · Views: 85

A testbench can process real data and convert it to fixed point as well. If you generate e.g sine waveforms in a testbench, they are originally real quantities, you always need to convert them to numbers understood by the design under test design.

There's however a point of chosing the best format for the data files to be read in by the test bench. I think, that decimal formatted integers are the most easy way. So you want to perform the float to integer scaling in Matlab.
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top