Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

VHDL and VERILOG how i can connect together

Status
Not open for further replies.

HTI

Newbie level 6
Joined
Jan 13, 2012
Messages
13
Helped
1
Reputation
2
Reaction score
0
Trophy points
1,281
Activity points
1,367
How i can integrate a verilog code into an VHDL code?
I have a code in verilog and i want to use it together with a vhdl code how can i do it ?
 

Re: VHDL and VERILOG hoa i can connect together

First of all, you need a simulator with a mixed mode licence (Minimum modelsim PE)

second, create a component in the VHDL architecture that matches the port description of the verilog module, and make all ports std_logic.
Instantiate it and it should just run fine.
 

    V

    Points: 2
    Helpful Answer Positive Rating
Re: VHDL and VERILOG hoa i can connect together

anyone has know the X-HDL converter of X-Tek corporation?
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top