Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Ram RTL in VERILOG HDL

Status
Not open for further replies.

dll_fpga

Full Member level 3
Joined
Mar 9, 2011
Messages
185
Helped
19
Reputation
38
Reaction score
21
Trophy points
1,298
Location
kerala,India
Activity points
2,416
RAMmodule ram (addr, clk, din, dout, we);
input [1 : 0] addr, din;
input clk, we;
output [1 : 0] dout;
reg[1:0] memory[3:0];
reg[1:0] dout_r;
always @(posedgeclk) begin
if (we)
memory[addr] <= din;
dout_r <= memory[addr];
endendmodule

I think the above code is a behavioral code of a ram.
How can we write a RTL code of a ram with an array of registers and a seperate address decoder .
How will the memory designers write the RTL of memories?or they write the behavioural model?
 

RAMmodule ram (addr, clk, din, dout, we);
input [1 : 0] addr, din;
input clk, we;
output [1 : 0] dout;
reg[1:0] memory[3:0];
reg[1:0] dout_r;
always @(posedgeclk) begin
if (we)
memory[addr] <= din;
dout_r <= memory[addr];
endendmodule

I think the above code is a behavioral code of a ram.
How can we write a RTL code of a ram with an array of registers and a seperate address decoder .
How will the memory designers write the RTL of memories?or they write the behavioural model?

RAM modeling



h**p://www.actel.com/documents/RTL_Memory_AN.pdf
 
Last edited:
does anyone know any links for syncronous sram memory model provided by any major memory manufacturer.Any links or documents...please


You may be interested in looking at this site:
"...memory HDL models

This page has links to some memory VHDL models, which I used for simulations.

An asynchronous, written in VHDL, sparse memory model to reduce memory consumption: async vhdl memory
A synchronous write sparse memory model. The read is asynchronous. This model is very poplar with most FPGA devices. sync vhdl memory
A synchronous write sparse memory model, written in VPI - C-Programming interface to the Verilog HDL. sync VPI memory ..."
**broken link removed**
 

does anyone know any links for syncronous sram memory model provided by any major memory manufacturer.Any links or documents...please

Cypress Semiconductor
MPD Applications
Verilog model for NoBL SRAM CY7C1471BV33
h**p://www.cypress.com/?rID=52393

---------- Post added at 11:33 ---------- Previous post was at 11:17 ----------

Micron
64Mb SDRAM: MT48LC4M16A2TG-75 IT

h**p://www.micron.com/products/ProductDetails.html?product=products/dram/sdram/MT48LC4M16A2TG-75+IT
 
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top