Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Interface on board ADC to Spartan 3E

Status
Not open for further replies.

deepanwita@gmail.com

Newbie level 6
Joined
Jul 25, 2011
Messages
11
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,394
sir,
i want to interface on board ADC to spartan 3E startkit with computer inbuilt signal, using VHDLcode...............
.........If any one have it's VHDL or Verilog code please
give me..........if any document for implementing this
........So please help me quickly...............
 

GET the ADC datasheet,
then find the timing graph and implement it
 

GET the ADC datasheet,
then find the timing graph and implement it

sir,
thank you..................i get the datasheet of adc(ADC-318 - 8-Bit, 120MHz and 140MHz Full-Flash A/D Converter - List of Unclassifed Manufacturers)
then pls sir help me how i implement it.....................and give me the vhdl code or idea........................its urgent ................plzzzzzzzzzzzzzz:cry:
 
Last edited:

I can do it. I charge $75/hour. It'll probably take several hours to complete.

How about you try doing your own work yourself. When you get stuck with a specific problem - come back here.
 
  • Like
Reactions: ravics

    ravics

    Points: 2
    Helpful Answer Positive Rating
I can do it. I charge $75/hour. It'll probably take several hours to complete.

How about you try doing your own work yourself. When you get stuck with a specific problem - come back here.




sir,
thankssssssssss for your advice.......................now not necessary...............i try to solve myself.................When i get stuck with a specific problem - come back here.............:-(
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top