Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to extract signal to start or stop dumping

Status
Not open for further replies.

roger

Full Member level 3
Joined
Aug 27, 2003
Messages
162
Helped
4
Reputation
8
Reaction score
0
Trophy points
1,296
Activity points
1,617
fsdbdumpon

How to extract signals to start or stop dumping using VHDL, ModelSim?

Please.
 

fsdbdumpoff

from modesim user manual
-->
A VCD file from source to output
The following example shows the VHDL source, a set of simulator commands, and the resulting VCD output.
VHDL source code

The design is a simple shifter device represented by the following VHDL source code:

library IEEE;
use IEEE.STD_LOGIC_1164.all;

entity SHIFTER_MOD is
port (CLK, RESET, data_in : IN STD_LOGIC;
Q : INOUT STD_LOGIC_VECTOR(8 downto 0));
END SHIFTER_MOD ;

architecture RTL of SHIFTER_MOD is
begin
process (CLK,RESET)
begin
if (RESET = '1') then
Q <= (others => '0') ;
elsif (CLK'event and CLK = '1') then
Q <= Q(Q'left - 1 downto 0) & data_in ;
end if ;
end process ;
end ;


VCD simulator commands
At simulator time zero, the designer executes the following commands:

vcd file output.vcd
vcd add -r *
force reset 1 0
force data_in 0 0
force clk 0 0
run 100
force clk 1 0, 0 50 -repeat 100
run 100
vcd off
force reset 0 0
force data_in 1 0
run 100
vcd on
run 850
force reset 1 0
run 50
vcd checkpoint
quit -sim
 

vcd checkpoint

What I mean is I wanna to start dumping when some signals
are active. I think you can not use run 20 ??sec, vcd file ...
because we don't know exactly the time when signals are active.
Hopt to share your idea.tks!
 

fsdbdumpoff

Use the following tcl commands.
"probe -shm -all"
It can dump the all signals in verilog-xl. You can have a try in Model-sim.
 

fsdb extract

galant said:
Use the following tcl commands.
"probe -shm -all"
It can dump the all signals in verilog-xl. You can have a try in Model-sim.

In that way, the dump file would probably be too big.
 

nwave extract signal

Have you have the PLI for debussy?

initial
begin
$fsdbDumpfile("fsdbfilename.fsdb");

wait (a_valid)
$fsdbDumpvars;

wait (b_valid)
$fsdbDumpoff;

wait (c_valid)
$fsdbDumpon;

...
...
end

Certainly, Dumping VCD have the same commands. Ref the GU of ModelSim.

Good Luck.
 

dump signals in verilog

use:

initial
begin
$dumpfile("./***.vcd");
$dumpvars;
end

you can also specify the signals and level of hierarchical in the $dumpvars.

Then use debussy to translate vcd to fsdb or running power analysis or whatever you want with the vcd file

VCD is usually much bigger than fsdb etc.
 

tcl fsdbdumpvars

xmizi said:
use:

initial
begin
$dumpfile("./***.vcd");
$dumpvars;
end

you can also specify the signals and level of hierarchical in the $dumpvars.

Then use debussy to translate vcd to fsdb or running power analysis or whatever you want with the vcd file

VCD is usually much bigger than fsdb etc.

That's verilog ,not VHDL ok!
 

fsdb + dumpvars

roger said:
What I mean is I wanna to start dumping when some signals
are active. I think you can not use run 20 ??sec, vcd file ...
because we don't know exactly the time when signals are active.
Hopt to share your idea.tks!

Not sure if this is do-able in Modelsim. With NC you can do:

database -disable -shm;
run 100 us
database -enable -shm;

To have it controlled using a signal (as you've asked), you can use "stop" command in conjunction with this. A pseudo-code (as you don't use NC anyway).

stop -condition {/top/dump_start == 1} -execute {database -enable -shm}

stop -condition {/top/dump_stop == 1} -execute {database -disable -shm}

NOTE: Options might be wrong in the above TCL, it is an idea than actual code.

I don't find such commands in MTI, they recently intorduced some thing called wlfman - but that's for post processing.

Regards,
Ajeetha
http://www.noveldv.com
 

modelsim + disable dumping

Hello.

Let me suggest a solution.

I have learned that Novas (certainly latest version Debussy and possibly Verdi) does support extraction of sub-signal waveform from a large waveform log.

The trick is it is a FSDB formatted file. Luckily, Novas has kindly provided conversion utility in its tools.

Now, if you have the orginal waveform in VCD. Novas may have a utility to convert VCD to FSDB format, Soon you have the converted FSDB file, you can load into nWave for signal extraction.

Conclusion: It is possible, albiet some pains involved. Read Novas's Knowledge base articles (you will need userID and password) and Debussy's user manual.

SAHO
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top