Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

[SOLVED] LEF file loading failed!!!

Status
Not open for further replies.

sumi_88

Newbie level 5
Joined
Dec 2, 2010
Messages
10
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Location
Melbourne, Australia
Activity points
1,396
Hi,

I am using ARM TSMC design kit of .13 um an loading the verilog and the LEF files directly from those. But I get an error below everytime i try import design.Coud anyone please help me solve it? Thanks in advance..

Loading Lef file ../Back_End/lef/tpd013n2_210a/4lm/lef/tpd013n2_4lm.lef...
**WARN: (ENCLF-58): Cell 'PAD60N' has been found in the database. The cell
has already been defined in another LEF file, or earlier in this
LEF file. All data except the antenna data will be ignored. If
the data in both cell definitions is needed, manually merge the
data into one definition.
**WARN: (ENCLF-58): Cell 'PCORNERN' has been found in the database. The cell
has already been defined in another LEF file, or earlier in this
LEF file. All data except the antenna data will be ignored. If
the data in both cell definitions is needed, manually merge the
data into one definition.
**WARN: (ENCLF-58): Cell 'PDC0102CDG' has been found in the database. The cell
has already been defined in another LEF file, or earlier in this
LEF file. All data except the antenna data will be ignored. If
the data in both cell definitions is needed, manually merge the
data into one definition.
**WARN: (ENCLF-209): Cell 'PDC0102CDG' has been found in db, no extra pin 'PE' can be added for existing macro
**WARN: (ENCLF-209): Cell 'PDC0102CDG' has been found in db, no extra pin 'PAD' can be added for existing macro
**WARN: (ENCLF-209): Cell 'PDC0102CDG' has been found in db, no extra pin 'OEN' can be added for existing macro
**WARN: (ENCLF-209): Cell 'PDC0102CDG' has been found in db, no extra pin 'IE' can be added for existing macro
**WARN: (ENCLF-209): Cell 'PDC0102CDG' has been found in db, no extra pin 'I' can be added for existing macro
**WARN: (ENCLF-209): Cell 'PDC0102CDG' has been found in db, no extra pin 'DS' can be added for existing macro
**WARN: (ENCLF-209): Cell 'PDC0102CDG' has been found in db, no extra pin 'C' can be added for existing macro
**WARN: (ENCLF-58): Cell 'PDC0204CDG' has been found in the database. The cell
has already been defined in another LEF file, or earlier in this
LEF file. All data except the antenna data will be ignored. If
the data in both cell definitions is needed, manually merge the
data into one definition.
**WARN: (ENCLF-209): Cell 'PDC0204CDG' has been found in db, no extra pin 'PE' can be added for existing macro
**WARN: (ENCLF-209): Cell 'PDC0204CDG' has been found in db, no extra pin 'PAD' can be added for existing macro
**WARN: (ENCLF-209): Cell 'PDC0204CDG' has been found in db, no extra pin 'OEN' can be added for existing macro
**WARN: (ENCLF-209): Cell 'PDC0204CDG' has been found in db, no extra pin 'IE' can be added for existing macro
**WARN: (ENCLF-209): Cell 'PDC0204CDG' has been found in db, no extra pin 'I' can be added for existing macro
**WARN: (ENCLF-209): Cell 'PDC0204CDG' has been found in db, no extra pin 'DS' can be added for existing macro
.
.
.
.
**WARN: (ENCLF-58): Cell 'PFEED20N' has been found in the database. The cell
has already been defined in another LEF file, or earlier in this
LEF file. All data except the antenna data will be ignored. If
the data in both cell definitions is needed, manually merge the
data into one definition.
**WARN: (ENCLF-58): Cell 'PFEED5N' has been found in the database. The cell
has already been defined in another LEF file, or earlier in this
LEF file. All data except the antenna data will be ignored. If
the data in both cell definitions is needed, manually merge the
data into one definition.
**WARN: (ENCLF-58): Cell 'PRC0102CDG' has been found in the database. The cell
has already been defined in another LEF file, or earlier in this
LEF file. All data except the antenna data will be ignored. If
the data in both cell definitions is needed, manually merge the
data into one definition.
**ERROR: (ENCLF-53): The layer 'METAL1' is not found in the database.
A layer must be defined before it can be referenced.
**ERROR: (ENCLF-3): Error found when processing LEF file '../Back_End/lef/tpd013n2_210a/4lm/lef/tpd013n2_4lm.lef'
**ERROR: (ENCLF-26): No technology information is defined in the first lef file.
Please rearrange the lef file order and make sure the technology lef file is the
first one, exit and restart Encounter.
encounter 1> **ERROR: **ERROR: (ENCSYT-16013): Load LEF file ../Back_End/lef/tpd013n2_210a/4lm/lef/tpd013n2_4lm.lef failed
 

It seems that your design has multiple lef files. The cell (PAD60N, PDC0102CDG..etc) has already been defined in another LEF file. Check all your lefs in the lef directory. This warning is OK. But If you wants to remove this warning. Search the cell for which you are getting warnings in other lefs and comment them. But leave them uncommented in your first lef file. You will not get this warning again.

Check order for lefs in the setup.tcl file. First define technology lef (technolgy lef contains information about metal layers). If there are multiple technology lef define all them before MACRO lef in your setup.tcl file.

You have not define technology lef that why you are getting ERROR: MEATL1 is not defined. Also check the name for METAL in technolgy lef. It may be M1 and correct it accordingly.

Error found when processing LEF file '../Back_End/lef/tpd013n2_210a/4lm/lef/tpd013n2_4lm.lef' This may be due to you somehow edit your lef. Check out your lef.
 
there will be two lefs, one is cell lef and another is tech lef, u need to load the tech lef first then load the cell lef
tech lef contains the technology informaiton for each metal and vias

cel lef contains, physical information of each cell in the std cell libraray

for arm std cells, there is seperate download available routing tech lib,, u need to dwl that
 
Thank you so much for the help. I did the changes, now I don get the warnings but
it still gives the metal1 error.

**ERROR: (ENCLF-53): The layer 'METAL1' is not found in the database.
A layer must be defined before it can be referenced.

Its defined the same way in the tech file too as METAL1.
I didn have a tech lef file , it was a tf file and i made a header to the lef file i had using
the tech file manager. I am not sure if that is causing the metal 1 problem.
Here is my header :
VERSION 5.6 ;
BUSBITCHARS "[]" ;
DIVIDERCHAR "/" ;

UNITS
DATABASE MICRONS 1000 ;
END UNITS
MANUFACTURINGGRID 0.005 ;
LAYER OVERLAP
TYPE OVERLAP ;
END OVERLAP


Is this correct header?
 

Ideally you should not touch/modify the LEF File. To me ,./Back_End/lef/tpd013n2_210a/4lm/lef/tpd013n2_4lm.lef looks like a technology file. Is that so ?

Layer definitions will be somewhat similar to the ones I have given below.

LAYER MET1
TYPE ROUTING ;
WIDTH XXX ;
SPACING XXX ;
SPACING XXX RANGE XXX XXX ;
OFFSET 0.000 ;
AREA XXX ;
PITCH XXX ;
DIRECTION HORIZONTAL ;
END MET1

Thanks
R.Srideepa
 
yes ...lef/tpd013n2_4lm.lef is the lef file, but it does not have a technical description(" header ") for the lef file. The first thread shows that.. hence i created one manually thats posted in my second thread. This header did remove the initial error and warnings but still the lef loading failed giving METAL1 not define.
The layer are defined in this format :

LAYER METAL1 ;
RECT 54.665 149.000 56.665 150.000 ;
LAYER METAL2 ;
RECT 54.665 149.000 56.665 150.000 ;
LAYER METAL3 ;
.
.
 

LAYER METAL1 ;
RECT 54.665 149.000 56.665 150.000 ;
LAYER METAL2 ;
RECT 54.665 149.000 56.665 150.000 ;
LAYER METAL3 ;

These are not definitions. The tool should know what is METAL1-3 before it processes the RECT XXX ; statement.

In tech file that you created have you defined METAL1 layer ? And did you indicate that it is a routing layer ? In your example, you have given OVERLAP layer and not ROUTING layers.

R.Srideepa
 
Actually I created only a header using the tech file(.tf) file I had got along with the package.
There is about 12 to 13 layers , via's etc. Do I need to create the definitions to each one of them manually?
Or is there any other I could do? Thanks a lot for help!
 

The 1st LEF defined in the LEF files was called TECH LEF.
For the definition, please take a look for LEF/DEF Language Reference.
 
Normally a tech lef is provided already therefore there is no need to manually make one.
If you are sure there is no tech lef file in your cell library, the first thing to do is to cantact the cell ibrary vendor and asking fot the tech file.

If you insist make a tech lef from the tf file is the only solution viable to you, lef files are not manually written most of the time.
As I know, there are many other library formats that can generate a tech lef by tools. For example, if you have the Milkyway library provided for the same library, it is possible to generate a lef using the Milkyway library.

Also, tf file is a general name without standard format. Many tools use tf files with different file format, such as the tf file for Milkyway and tf for RC extraction. It is really difficult to tell the tf file you are using right now provide enough information.

Please read the documents of your won cell library and find out whether there are other solutions other than munally writing a lef.
 
I had a similar problem, before you even generate your LEF files, check to see that your technology file is correct. It seems to me that you METAL1 layer is not defined there.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top