Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

read/write collision on dualram

Status
Not open for further replies.

dll_fpga

Full Member level 3
Joined
Mar 9, 2011
Messages
185
Helped
19
Reputation
38
Reaction score
21
Trophy points
1,298
Location
kerala,India
Activity points
2,416
what is read/write collision on dualram?
how can it be handled?
why reading and writing from same location is not possible?....
(we can get either old value or new value....knw?)
 

hi
In Xilinx FPGA you can read write from one location simultaneously if you set some additional parameters, as I remember. Problems could occur, because you can read wrong data.
And handled it you should create some additional logic around memory(controller) , of couse if your memory does not implement it already.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top