Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

[SOLVED] Driving VGA at 25MHz?

Status
Not open for further replies.

Artlav

Full Member level 2
Joined
Nov 26, 2010
Messages
144
Helped
2
Reputation
4
Reaction score
2
Trophy points
1,298
Activity points
2,723
Hello.

I'm trying to drive a VGA output (on Altera FPGA dev board) at 640x480@60Hz, but the clock i have is 25Mhz (50+PLL).
I've tried the default timings (in terms of ticks), but monitor says input out of range.
I've been trying to match the times then, increasing horizontal front porch, sync or active area, but it just does not want to work.

The design itself appears to be fine, because if i drive it at 25.2Mhz on another setup, it works nicely.

So, the question is - how does one drives VGA with only 25Mhz clock?
Is it a matter of setting the timings right, or would it take more work?

Default timings i tried - VGA Signal 640 x 480 @ 60 Hz Industry standard timing
 

Yes, its matter of getting the timing right to get the display to work correctly.

Since you already have 50Mhz system clock, getting 25Mhz out of it not a big deal. All you have to do is derive 25Mhz clock by doing clock division with flip-flops.

Hope this helps
 

Since you already have 50Mhz system clock, getting 25Mhz out of it not a big deal. All you have to do is derive 25Mhz clock by doing clock division with flip-flops.
Sure, that's the easy part.
Figuring out the timings isn't, however.
 

Hi,

If I understand your question correct you are trying to do a VGA output with 25MHz instead of 25.175MHz.
If you do it with default timing (means in total 800 pixel horizontal and 525 lines in total vertical) your monitor reports "input out of range".

If this is a monitor which supports VGA, it is hard to belive, because normally a monitor should tolerate such an small error of ~ 1%.

But if you want to correct the timings you need to decrease the numbers of the horizontal timings(because your clock period increases)
800 pixel @ 25.175MHz ~= 794 pixel @ 25MHz
I would use horizontal sync pulse of 92 and horizontal back porch of 46 and let all other timings to default
(but in this case your horizontal timing values are no longer multiple of 8, which is the case for default VGA timing)

regards
 

Ouch.

Found the problem, i've mixed up the outputs of the PLL, so the VGA received 50Mhz and CPU got 25. :(
With that fixed the VGA works nicely at 25Mhz with default timings, which as qieda understood correctly, was what i was trying to do.

Sorry for the bogus problem.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top