Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

SAIF file generated in modelsim 6.4 giving "syntax error" in primetime

Status
Not open for further replies.

umairsiddiqui

Full Member level 2
Joined
Apr 13, 2004
Messages
143
Helped
7
Reputation
14
Reaction score
1
Trophy points
1,298
Location
Sweden
Activity points
1,434
Hi

I run a gate level simulation (SDF annotated) in Modelsim 6.4a, using following script

project open gls.mpf
project compileall
vsim -c -L CORE65LPHVT -L CORE65LPSVT -L CORE65LPLVT -noglitch -sdfmax /testbench/d3=/tmp/umair/grlib-gpl-1.0.22-b4095/designs/leon3-stm65/synopsys/leon3mp.sdf -sdfnoerror -t ps work.testbench
power add -r /testbench/d3/*
run -a
power report -all -bsaif leon3.saif


The SAIF file is generate but when I try to import read_saif into primetime I get:

Checked out license 'PrimeTime-PX'
ERROR: On line 8, found "syntax error"

Error: No switching activity has been annotated, as the name of the instance of the current design testbench/d3 given by option -strip_path is incorrect. Please check the SAIF/VCD file and give the correct name. (PSW-130)
0


I used following script



set link_library "[glob ./*.db] $link_library"
set target_library "[glob ./*.db] $target_library"

# loading netlist
read_verilog ./synopsys/leon3mp.v

# this is the gatelevel top
current_design leon3mp

create_clock -name "clka" -period 20 clka

# in testbench the leon3mp is instantiated as d3
read_saif ./gls/leon3.saif -strip_path testbench/d3


If you look into attached SAIF you will see that there are testbench and d3 instances, and this file is generated by ModelSim...so why it is giving syntax error?

Inside modelsim 6.4a ref manual i didn't found Synopsys DPFLI is required for SAIF generation...

I have to make longer simulation runs so VCD generation is not an option.

regards
 

Attachments

  • leon3.saif.gz
    477.1 KB · Views: 85

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top