Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

What is difference between Questasim & Modelsim?

Status
Not open for further replies.

ramesh441

Advanced Member level 4
Joined
Feb 11, 2009
Messages
110
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,296
Location
India
Activity points
2,076
Can I know what is the differnce between Questa sim & modelsim?


Thanks in advance,

RamesH
 

To my knowledge QuestaSim is released for the Verification purpose only by Mentor Graphics in support from Cadence.
But ModelSim is from Metor Graphics only
 

Questasim is the 64-bit version for modelsim

modelsim is 32-bit. Both are from Mentor Graphics
 

Both come from Mentor Graphics.
Questasim is 32 or 64bits like modelsim. The name have changed when new language like e, sv, ams... have been supported by Mentor. By behind this, that is the same engine.

---------- Post added at 20:06 ---------- Previous post was at 20:05 ----------

and after that you have Questasim or Advance MS package, both of them have Questasim behind, the second are "ready" for mixed simulation with spice model, connected to Eldo simulator.
 
Hi,

I still have question what is the difference between Questasim & Modelsim?. Which one is better and more professional ?
 

ignore the responses from #2 & #3. rca is correct.

Questa is Mentor's flagship product that has full System Verilog simulation support. Modelsim is an older product that has limited support for System Verilog. If you plan on using OVM/UVM then you would want to go with Questa, otherwise Modelsim is good enough.

From the following product description pages it looks like Questa's simulation kernel was written to take advantage of multi-core processors, and should have higher performance for large designs. I've never had the opportunity to use Questa for any extended period of time as the places I've worked never wanted to fork over the premium cost over a Modelsim license to get Questa.

Modelsim Product page:
https://www.mentor.com/products/fv/modelsim/

Questa Product page
https://www.mentor.com/products/fv/questa/
 
Some of the key differences of Questa over ModelSim:
  • Compile flow optimizations
  • Simulation Performance optimizations (2-50X)
  • Post processing analysis (i.e. run a simulation in batch while viewing the results of a previous simulation)
  • Multiple Wave Windows
  • 64-bit mode support (ModelSim is only released to run in 32-bit mode)
  • Links to analog/mixed-signal simulation
  • Job control and Integration with Simulation farms
  • Access to Advanced SystemVerilog Testbench features (assertions, constraints, functional coverage)
 
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top