Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

[SOLVED] Clocking of DDR2 Controller on XUPV5 (Virtex 5)

Status
Not open for further replies.

sebblonline

Newbie level 5
Joined
Sep 22, 2010
Messages
8
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,361
Hi,

Im using the Xilinx XUPV5-LX110t development board and Xilinx ISE 12.1.
I succussfully instantiated the memory controller with MIG and core generator. Now I'm not sure about the clocking of the controller. It has a sys_clk input and a clk200 clock. The connection of the 200MHz clock is clear for me, but i dont know from where to take the sys_clk. Does it have to be the clock Im using in my user logic from where I want to access the RAM? Or does it come from a source outside of the FPGA, because there is also a constraint for this signal.

thanks in advance.

regards,
sebastian
 

hi

i need to the user guide for XUPV5 LX110T development kit

wait your reply
regards
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top