Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

how to vhdl code for random number generator

Status
Not open for further replies.

rajeswari01

Junior Member level 3
Joined
Oct 13, 2010
Messages
26
Helped
1
Reputation
2
Reaction score
1
Trophy points
1,283
Activity points
1,438
how to write vhdl code for random number generator module,which has integer rang 1 to 255,binary 8-bit,number of array equal to 36 ,using function in package "std_logic_unsigned" is conv_integer(arg:std_logic_vector) return integer,i want vhdl code for random number generator module.
 

Forum rule 3:
Off-topic, hammering, spamming and cross posts are strongly unwanted. They're an unuseful load of EDAboard forum areas.
 

First of all, dont use package std_logic_unsigned.
use numeric_std instead.

Second - instead of making us do your work, do your own work yourself and come back when you are stuck
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top