Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Systemverilog interface modports with verilog and VHDL module

Status
Not open for further replies.

vlsi_mani

Newbie level 1
Joined
Oct 8, 2010
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,292
I have an SV interface definition. (Used both inside RTL as well as test bench top) (PS: this is only a tiny bit of the entire code)

interface bus_if(input clk, rst_n);
`include "bus_params.v"
logic [3:0] cfg_slave[0:1];
modport slave_port(input clk,rst_n,cfg_slave);
modport master_port(input clk,rst_n,output cfg_slave);
endinterface
-------------

The signal gets driven from a verilog module. There are also some VHDL modules - but they don't directly talk to the interface.
Though this signal has been declared in modport, DC complains of a ref port in the instantiation.
When I synthesis the SV code alone separately, there is no issue.
So it appears more like mixed signal driving issue.
When I change the logic data type to wire the errors go away - but that is not the right way to do it(since erroneous multiple driving cannot be detected and also DC might infer tristate logic even otherwise)

Has anybody tried using interface modports with verilog and vhdl sources... is it synthesisable w/o issues ?
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top